m基于FPGA的64QAM调制解调、载波同步verilog实现

简介: m基于FPGA的64QAM调制解调、载波同步verilog实现

1.算法描述

   64QAM(正交幅度调制),在使用同轴电缆的网络中,这种数字频率调制技术通常用于发送下行链路数据。64QAM在6mhz信道中,64QAM的传输速率非常高,最多可支持38.015mbps的峰值传输速率。然而,它对干扰信号很敏感,难以适应嘈杂的上行链路传输(从电缆用户到互联网)。参见QPSK、DQPSK、CDMA、S-CDMA、BPSK和VSB。

    它具有调制效率高、对传输路径的信噪比要求高、带宽利用率高的特点,适合有线电视传输;QAM(DVB-C调制)在中国有线电视网络中得到广泛应用。QAM是一种联合幅度和相位调制技术。它利用载波的幅度和相位同时传输信息位。不同的幅度和相位表示不同的编码符号。因此,在相同的最小距离条件下,QAM星座可以容纳更多的星座点,以实现更高的频带利用率。

   载波同步又称载波恢复(carrierrestoration),即在接收设备中产生一个和接收信号的载波同频同相的本地振荡(localoscillation),供给解调器作相干解调用。当接收信号中包含离散的载频分量时,在接收端需要从信号中分离出信号载波作为本地相干载波;这样分离出的本地相干载波频率必然与接收信号载波频率相同,但为了使相位也相同,可能需要对分离出的载波相位作适当的调整。若接收信号中没有离散载波分量,例如在2PSK信号中(“1” 和“0”以等概率出现时),则接收端需要用较复杂的方法从信号中提取载波。因此,在这些接收设备中需要有载波同步电路,以提供相干解调所需要的相干载波;相干载波必须与接收信号的载波严格地同频同相。

本系统几个设计要点:

  第一:环路滤波系数的分段更新,实现频率跟踪效果的稳定。当锁相环开始工作的时候,首先由一组较大的环路滤波系数实现频率的快速跟踪,然后由一组较小的环路系数,实现频率的稳定跟踪。

第二:环路平滑处理。

第三:同时进行鉴频和鉴相

上面两个是性能上的改进,然后针对FPGA的设计,我们采用如下的改进设计构架。

第一:基于流水线的设计流程,使得载波同步算法能够以流的形式进行反馈工作;

第二:基于Cordic算法的NCO产生对应的SIN和COS。

2.仿真效果预览
算法仿真:MATLAB 2022a

FPGA设计:quartusii13.0

FPGA仿真:ModelSim-Altera 6.6d

1.png
2.png
3.png
4.png

3.MATLAB核心程序

module tops(
            i_clk,
                i_rst,
                o_signal,
               o_I_map,
               o_Q_map,
               o_sin,
                o_cos,
                o_64QAM_real,
                o_64QAM_imag,
                o_cosfai,
                o_sinfai,
                o_I_PLL,
                o_Q_PLL,
                o_discriminator,
                o_nco,
                o_nco_smooth,
                o_fre
            );
                
input              i_clk;
input              i_rst;
output       [5:0] o_signal;    
output signed[3:0] o_I_map;
output signed[3:0] o_Q_map;
output signed[11:0]o_sin;
output signed[11:0]o_cos; 
output signed[15:0]o_64QAM_real;
output signed[15:0]o_64QAM_imag; 
 
output signed[15:0]o_cosfai;
output signed[15:0]o_sinfai;
output signed[15:0]o_I_PLL;
output signed[15:0]o_Q_PLL;
 
output signed[15:0]o_discriminator;
output signed[23:0]o_nco;
output signed[23:0]o_nco_smooth;
output signed[23:0]o_fre;
signal signal_u(
               .i_clk    (i_clk),
                   .i_rst    (i_rst),
                   .o_signal (o_signal)
              );
                  
//64QAM mod
mod_64QAM_tops mod_64QAM_tops_u(
                               .i_clk      (i_clk),
                               .i_rst      (i_rst),
                                      .i_signal   (o_signal),
                                      .o_I_map    (o_I_map),
                                      .o_Q_map    (o_Q_map),
                                      .o_sin      (o_sin),
                                      .o_cos      (o_cos),
                                      .o_QAM_real (o_64QAM_real),
                                      .o_QAM_imag (o_64QAM_imag)
                                      );                 
                          
                     
//64QAM demod    
demod_64QAM_tops demod_64QAM_tops_u(
                                                .i_clk           (i_clk),
                                                .i_rst           (i_rst),
                                                .i_Qam_real      (o_64QAM_real),
                                                .i_Qam_imag      (o_64QAM_imag),
                                                .o_cosfai        (o_cosfai),
                                                .o_sinfai        (o_sinfai),
                                                .o_I_PLL         (o_I_PLL),
                                                .o_Q_PLL         (o_Q_PLL),
                                                .o_discriminator (o_discriminator),
                                                .o_nco           (o_nco),
                                                .o_nco_smooth    (o_nco_smooth),
                                                .o_fre           (o_fre)
                                                );    
 
endmodule 
01-124m
相关文章
|
1天前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
40 7
|
1天前
|
算法 异构计算
m基于FPGA的电子钟verilog实现,可设置闹钟,包含testbench测试文件
该文介绍了基于FPGA的电子钟设计,利用Vivado2019.2平台进行开发并展示测试结果。电子钟设计采用Verilog硬件描述语言,核心包括振荡器、分频器和计数器。时间显示为2个十进制格式,闹钟功能通过存储器和比较器实现,当当前时间等于设定时间时触发。文中给出了Verilog核心程序示例,展示了时钟信号、设置信号及输出的交互。
37 2
|
1天前
|
算法 异构计算
m基于FPGA的MPPT最大功率跟踪算法verilog实现,包含testbench
该内容包括三部分:1) 展示了Vivado 2019.2和Matlab中关于某种算法的仿真结果图像,可能与太阳能光伏系统的最大功率点跟踪(MPPT)相关。2) 简述了MPPT中的爬山法原理,通过调整光伏电池工作点以找到最大功率输出。3) 提供了一个Verilog程序模块`MPPT_test_tops`,用于测试MPPT算法,其中包含`UI_test`和`MPPT_module_U`两个子模块,处理光伏电流和电压信号。
8 1
|
1天前
|
存储 算法 异构计算
m基于FPGA的多功能信号发生器verilog实现,包含testbench,可以调整波形类型,幅度,频率,初始相位等
使用Vivado 2019.2仿真的DDS信号发生器展示了正弦、方波、锯齿波和三角波的输出,并能调整幅度和频率。DDS技术基于高速累加器、查找表和DAC,通过频率控制字和初始相位调整产生各种波形。Verilog程序提供了一个TEST模块,包含时钟、复位、信号选择、幅度和频率控制输入,以生成不同波形。
38 18
|
1天前
|
算法 计算机视觉 异构计算
基于FPGA的图像累积直方图verilog实现,包含tb测试文件和MATLAB辅助验证
该内容展示了FPGA实现图像累积直方图的算法。使用Vivado2019.2和matlab2022a,通过FPGA的并行处理能力优化图像处理。算法基于像素值累加分布,计算图像中像素值小于等于特定值的像素个数。核心代码为`test_image`模块,读取二进制图像文件并传递给`im_hist`单元,生成直方图和累积直方图。
|
1天前
|
算法 异构计算 Python
m基于FPGA的217卷积编码维特比译码verilog实现,包含testbench不使用IP核
该内容展示了使用Vivado 2019.2进行卷积编码和维特比译码的模拟。提供了编码、译码输出和RTL图的图片,以及Verilog实现的核心代码。卷积编码是一种前向纠错技术,基于生成多项式产生冗余数据;维特比译码利用动态规划恢复原始信息,最小化错误。Verilog程序包含了编码和译码模块,以及输入输出信号的时序操作。
25 0
|
1天前
|
算法 异构计算
m基于FPGA的Alamouti编码verilog实现,包含testbench测试文件
m基于FPGA的Alamouti编码verilog实现,包含testbench测试文件
35 5
|
1天前
|
算法 异构计算
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
|
1天前
|
算法 5G 数据处理
m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench
m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench
59 0
|
1天前
|
算法 异构计算 索引
m基于FPGA的Hamming汉明编译码verilog实现,包含testbench测试文件,不使用IP核
m基于FPGA的Hamming汉明编译码verilog实现,包含testbench测试文件,不使用IP核
52 1

热门文章

最新文章