基于FPGA的图像RGB转HLS实现,包含testbench和MATLAB辅助验证程序

简介: 基于FPGA的图像RGB转HLS实现,包含testbench和MATLAB辅助验证程序

1.算法运行效果图预览

44f306fd8fe2e08343c4e132f7e5ab4c_82780907_202401252324350270971831_Expires=1706196875&Signature=s3fbSBs7lTGtlKJvRVjaaIvVOyc%3D&domain=8.jpeg

将FPGA结果导入到MATLAB显示效果:

46aa2a0fc2c06571fa6d2eb2e8d55ff5_82780907_202401252324440894905414_Expires=1706196884&Signature=FjbT1Xd18flfFniH3o6BDsPmX%2FE%3D&domain=8.jpeg

2.算法运行软件版本
Vivado2019.2

matlab2022a

3.算法理论概述
在数字图像处理中,RGB和HLS是两种常见的颜色空间。RGB基于红绿蓝三种基本颜色的叠加来定义其他颜色,而HLS则代表色调、亮度和饱和度,它更接近人类视觉对颜色的感知。将RGB图像转换为HLS图像的目的通常是为了更方便地进行某些类型的图像处理,比如色彩平衡和色彩分离。RGB颜色空间基于笛卡尔坐标系,其中R、G、B分别代表红、绿、蓝三种颜色的强度。HLS颜色空间则是基于圆柱坐标系,其中H代表色调(0-360度),L代表亮度(0-1),S代表饱和度(0-1)。

    转换的第一步是将RGB值归一化到[0,1]范围。然后,通过计算RGB颜色空间的最大值和最小值来得到亮度L。色调H由RGB中的最大值和最小值决定,并使用反正切函数来得到0-360度的角度。最后,饱和度S基于最大值和亮度L来计算。

具体的转换公式如下:

将RGB值归一化到[0,1]:
R' = R/255
G' = G/255
B' = B/255

3.1计算最大值和最小值

Max = max(R', G', B')
Min = min(R', G', B')
Diff = Max - Min

3.2计算亮度L

L = (Max + Min) / 2

3.3计算饱和度S
if L < 0.5:
S = Diff / (Max + Min)
else:
S = Diff / (2 - Max - Min)

3.4计算色调H

if Diff == 0:
H = 0
else:
if Max == R':
H = (60 ((G' - B') / Diff) + 360) % 360
elif Max == G':
H = (60
((B' - R') / Diff) + 120) % 360
elif Max == B':
H = (60 * ((R' - G') / Diff) + 240) % 360

   这些公式可以将每一个像素从RGB颜色空间转换到HLS颜色空间。值得注意的是,这种转换通常是可逆的,也就是说,你也可以从HLS颜色空间转换回RGB颜色空间。在实现RGB到HLS的转换时,通常会先读取一幅RGB图像,然后将上述公式应用于图像中的每一个像素。

4.部分核心程序
````timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2023/08/01
// Design Name:
// Module Name: RGB2gray
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//

module test_image;

reg i_clk;
reg i_rst;
reg [7:0] Rbuff [0:100000];
reg [7:0] Gbuff [0:100000];
reg [7:0] Bbuff [0:100000];
reg [7:0] i_Ir,i_Ig,i_Ib;
wire [7:0] o_H;
wire [7:0] o_L,o_S;
integer fids1,dat1,fids2,dat2,fids3,dat3,jj=0;

//D:\FPGA_Proj\FPGAtest\codepz
initial
begin
fids1 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\R.bmp","rb");
dat1 = $fread(Rbuff,fids1);
$fclose(fids1);
end

initial
begin
fids2 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\G.bmp","rb");
dat2 = $fread(Gbuff,fids2);
$fclose(fids2);
end

initial
begin
fids3 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\B.bmp","rb");
dat3 = $fread(Bbuff,fids3);
$fclose(fids3);
end

initial
begin
i_clk=1;
i_rst=1;

1200;

i_rst=0;
end

always #5 i_clk=~i_clk;

always@(posedge i_clk)
begin
i_Ir<=Rbuff[jj];
i_Ig<=Gbuff[jj];
i_Ib<=Bbuff[jj];
jj<=jj+1;
end

main_RGB2HLS main_RGB2HLS_u(
.i_clk (i_clk),
.i_rst (i_rst),
.i_image_R (i_Ir),
.i_image_G (i_Ig),
.i_image_B (i_Ib),
.o_H (o_H),// Y
.o_L (o_L),// Y
.o_S (o_S)
);

integer fout1;
initial begin
fout1 = $fopen("H.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout1,"%d\n",o_H);
else
$fwrite(fout1,"%d\n",0);
end

integer fout2;
initial begin
fout2 = $fopen("L.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout2,"%d\n",o_L);
else
$fwrite(fout2,"%d\n",0);
end

integer fout3;
initial begin
fout3 = $fopen("S.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout3,"%d\n",o_S);
else
$fwrite(fout3,"%d\n",0);
end
endmodule

```

相关文章
|
2月前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
升级版FPGA MSK调制解调系统集成AWGN信道模型,支持在Vivado 2019.2中设置不同SNR仿真误码率。示例SNR值从0到15,结果展示解调质量随SNR提升。MATLAB仿真验证了MSK性能,图片显示了仿真结果。 ### 理论概要 研究聚焦于软件无线电中的MSK调制解调,利用Verilog实现。MSK是一种相位连续、恒包络的二进制调制技术,优点包括频谱效率高。系统采用无核设计,关键模块包括调制器、解调器和误码检测。复位、输入数据、中频信号等关键信号通过Verilog描述,并通过Chipscope在线观察。
41 6
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
|
22天前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的BPSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR
本系统基于Vivado2019.2,在原有BPSK调制解调基础上新增高斯信道及误码率统计模块,可测试不同SNR条件下的误码性能。仿真结果显示,在SNR=0dB时误码较高,随着SNR增至5dB,误码率降低。理论上,BPSK与2ASK信号形式相似,但基带信号不同。BPSK信号功率谱仅含连续谱,且其频谱特性与2ASK相近。系统采用Verilog实现,包括调制、加噪、解调及误码统计等功能,通过改变`i_SNR`值可调整SNR进行测试。
21 1
|
28天前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的2FSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR
本系统基于FSK调制解调,通过Vivado 2019.2仿真验证了不同信噪比(SNR)下的误码率表现。加入高斯信道与误码统计模块后,仿真结果显示:SNR=16dB时误码极少;随SNR下降至0dB,误码逐渐增多。FSK利用频率变化传输信息,因其易于实现且抗干扰性强,在中低速通信中有广泛应用。2FSK信号由连续谱与离散谱构成,相位连续与否影响功率谱密度衰减特性。Verilog代码实现了FSK调制、加性高斯白噪声信道及解调功能,并计算误码数量。
30 5
|
3月前
|
算法 计算机视觉 异构计算
基于FPGA的图像一维FFT变换IFFT逆变换verilog实现,包含tb测试文件和MATLAB辅助验证
```markdown ## FPGA 仿真与 MATLAB 显示 - 图像处理的 FFT/IFFT FPGA 实现在 Vivado 2019.2 中仿真,结果通过 MATLAB 2022a 展示 - 核心代码片段:`Ddddddddddddddd` - 理论:FPGA 实现的一维 FFT/IFFT,加速数字信号处理,适用于高计算需求的图像应用,如压缩、滤波和识别 ```
|
3月前
|
存储 算法 计算机视觉
m基于FPGA的FIR低通滤波器实现和FPGA频谱分析,包含testbench和滤波器系数MATLAB计算程序
在Vivado 2019.2平台上开发的系统,展示了数字低通滤波器和频谱分析的FPGA实现。仿真结果显示滤波效果良好,与MATLAB仿真结果一致。设计基于FPGA的FIR滤波器,利用并行处理和流水线技术提高效率。频谱分析通过离散傅里叶变换实现。提供了Verilog核心程序以示例模块工作原理。
31 4
|
2月前
|
异构计算
FPGA进阶(3):SDRAM读写控制器的设计与验证(二)
FPGA进阶(3):SDRAM读写控制器的设计与验证(二)
20 0
|
2月前
|
异构计算 内存技术
FPGA进阶(3):SDRAM读写控制器的设计与验证(一)
FPGA进阶(3):SDRAM读写控制器的设计与验证
42 0
|
23天前
|
安全
【2023高教社杯】D题 圈养湖羊的空间利用率 问题分析、数学模型及MATLAB代码
本文介绍了2023年高教社杯数学建模竞赛D题的圈养湖羊空间利用率问题,包括问题分析、数学模型建立和MATLAB代码实现,旨在优化养殖场的生产计划和空间利用效率。
77 6
【2023高教社杯】D题 圈养湖羊的空间利用率 问题分析、数学模型及MATLAB代码
|
24天前
|
存储 算法 搜索推荐
【2022年华为杯数学建模】B题 方形件组批优化问题 方案及MATLAB代码实现
本文提供了2022年华为杯数学建模竞赛B题的详细方案和MATLAB代码实现,包括方形件组批优化问题和排样优化问题,以及相关数学模型的建立和求解方法。
51 3
【2022年华为杯数学建模】B题 方形件组批优化问题 方案及MATLAB代码实现
|
24天前
|
数据采集 存储 移动开发
【2023五一杯数学建模】 B题 快递需求分析问题 建模方案及MATLAB实现代码
本文介绍了2023年五一杯数学建模竞赛B题的解题方法,详细阐述了如何通过数学建模和MATLAB编程来分析快递需求、预测运输数量、优化运输成本,并估计固定和非固定需求,提供了完整的建模方案和代码实现。
51 0
【2023五一杯数学建模】 B题 快递需求分析问题 建模方案及MATLAB实现代码
下一篇
云函数