基于FPGA的图像RGB转HSV实现,包含testbench和MATLAB辅助验证程序

简介: 基于FPGA的图像RGB转HSV实现,包含testbench和MATLAB辅助验证程序

1.算法运行效果图预览

69a3e6e5d1f2fab609ff06d082dfdc98_82780907_202401252330130053637405_Expires=1706197213&Signature=50RwwxrFJu6uC7N1ucPQLc%2Bmu58%3D&domain=8.jpeg

将FPGA的仿真结果导入到matlab中:

a79569a11cbb6b1ea8809265410d350c_82780907_202401252330230240335121_Expires=1706197223&Signature=TqmHgC3C6fE6CNDyWZoicqowZgQ%3D&domain=8.jpeg

2.算法运行软件版本
vivado2019.2

matlab2022a

3.算法理论概述
在数字图像处理中,色彩空间的转换是常见的操作。其中,RGB和HSV是两种经常使用的色彩空间。RGB基于红、绿、蓝三种颜色的组合,而HSV则代表色相、饱和度和明度。本文将探讨如何基于FPGA实现RGB到HSV的转换,并深入讨论其背后的原理和数学公式。

3.1. RGB与HSV色彩空间
RGB色彩空间:RGB色彩模型采用三维笛卡尔坐标系统,红、绿、蓝三原色位于三个角上。原色值位于坐标轴上的点,而其他颜色则位于立方体内部。通过三原色的不同强度组合,可以得到各种颜色。 RGB是从颜色发光的原理来设计定的,通俗点说它的颜色混合方式就好像有红、绿、蓝三盏灯,当它们的光相互叠合的时候,色彩相混,而亮度却等于两者亮度之总和,越混合亮度越高,即加法混合。红、绿、蓝三个颜色通道每种色各分为256阶亮度,在0时“灯”最弱——是关掉的,而在255时“灯”最亮。当三色灰度数值相同时,产生不同灰度值的灰色调,即三色灰度都为0时,是最暗的黑色调;三色灰度都为255时,是最亮的白色调。在电脑中,RGB的所谓“多少”就是指亮度,并使用整数来表示。通常情况下,RGB各有256级亮度,用数字表示为从0、1、2...直到255。注意虽然数字最高是255,但0也是数值之一,因此共256级。

   HSV色彩空间:HSV色彩空间更加接近人类视觉对色彩的感知。其中,H(Hue)代表色相,表示颜色的基本属性;S(Saturation)代表饱和度,表示颜色的深浅;V(Value)代表明度,表示颜色的明亮程度。

   HSV是一种比较直观的颜色模型,所以在许多图像编辑工具中应用比较广泛,这个模型中颜色的参数分别是:色调(H, Hue),饱和度(S,Saturation),明度(V, Value)。

色调H

    用角度度量,取值范围为0°~360°,从红色开始按逆时针方向计算,红色为0°,绿色为120°,蓝色为240°。它们的补色是:黄色为60°,青色为180°,品红为300°;

饱和度S

    饱和度S表示颜色接近光谱色的程度。一种颜色,可以看成是某种光谱色与白色混合的结果。其中光谱色所占的比例愈大,颜色接近光谱色的程度就愈高,颜色的饱和度也就愈高。饱和度高,颜色则深而艳。光谱色的白光成分为0,饱和度达到最高。通常取值范围为0%~100%,值越大,颜色越饱和。

明度V

    明度表示颜色明亮的程度,对于光源色,明度值与发光体的光亮度有关;对于物体色,此值和物体的透射比或反射比有关。通常取值范围为0%(黑)到100%(白)。

3.2. RGB到HSV转换原理
RGB到HSV的转换涉及以下步骤:

首先将RGB值标准化到[0,1]范围。对于8位的RGB值,可以通过除以255来完成这一步。

4068e8b779f469bf48b75dfaef1802dc_82780907_202401252330340787578791_Expires=1706197234&Signature=YDjf36juv%2FPIiXI%2F3FjsyTCZHTI%3D&domain=8.png

然后计算色相H,饱和度S,明度V

c83fb1687dee6c4bc3f085cd850f5bee_82780907_202401252330450131234287_Expires=1706197245&Signature=9h5GUT8RhYpgkdpZ%2Fj4JiCEFpHM%3D&domain=8.png

4.部分核心程序

````timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2023/08/01
// Design Name:
// Module Name: RGB2gray
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//

module test_image;

reg i_clk;
reg i_rst;
reg [7:0] Rbuff [0:100000];
reg [7:0] Gbuff [0:100000];
reg [7:0] Bbuff [0:100000];
reg [7:0] i_Ir,i_Ig,i_Ib;
wire [7:0] o_H,o_S,o_V;
integer fids1,dat1,fids2,dat2,fids3,dat3,jj=0;

//D:\FPGA_Proj\FPGAtest\codepz
initial
begin
fids1 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\R.bmp","rb");
dat1 = $fread(Rbuff,fids1);
$fclose(fids1);
end

initial
begin
fids2 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\G.bmp","rb");
dat2 = $fread(Gbuff,fids2);
$fclose(fids2);
end

initial
begin
fids3 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\B.bmp","rb");
dat3 = $fread(Bbuff,fids3);
$fclose(fids3);
end

initial
begin
i_clk=1;
i_rst=1;

1200;

i_rst=0;
end

always #5 i_clk=~i_clk;

always@(posedge i_clk)
begin
i_Ir<=Rbuff[jj];
i_Ig<=Gbuff[jj];
i_Ib<=Bbuff[jj];
jj<=jj+1;
end

main_RGB2HSV main_RGB2HSV_u(
.i_clk (i_clk),
.i_rst (i_rst),
.i_image_R (i_Ir),
.i_image_G (i_Ig),
.i_image_B (i_Ib),
.o_H (o_H),// Y
.o_S (o_S),// Y
.o_V (o_V)
);

integer fout1;
initial begin
fout1 = $fopen("H.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout1,"%d\n",o_H);
else
$fwrite(fout1,"%d\n",0);
end

integer fout2;
initial begin
fout2 = $fopen("S.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout2,"%d\n",o_S);
else
$fwrite(fout2,"%d\n",0);
end

integer fout3;
initial begin
fout3 = $fopen("V.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout3,"%d\n",o_V);
else
$fwrite(fout3,"%d\n",0);
end

endmodule

```

相关文章
基于MATLAB的电力磁电机内的电磁场计算程序的GUI实现
基于MATLAB的电力磁电机内的电磁场计算程序的GUI实现
|
17天前
|
存储 算法 生物认证
基于Zhang-Suen算法的图像细化处理FPGA实现,包含testbench和matlab验证程序
本项目基于Zhang-Suen算法实现图像细化处理,支持FPGA与MATLAB双平台验证。通过对比,FPGA细化效果与MATLAB一致,可有效减少图像数据量,便于后续识别与矢量化处理。算法适用于字符识别、指纹识别等领域,配套完整仿真代码及操作说明。
|
2月前
|
算法 测试技术 编译器
使用 Synopsys VCS 生成 SystemVerilog DPI 组件的 HDL 验证器,将 SystemVerilog DPI 组件从 MATLAB 生成用于 Synopsys VCS 模拟
使用 Synopsys VCS 生成 SystemVerilog DPI 组件的 HDL 验证器,将 SystemVerilog DPI 组件从 MATLAB 生成用于 Synopsys VCS 模拟
|
3月前
|
存储 算法 数据安全/隐私保护
基于FPGA的图像退化算法verilog实现,分别实现横向和纵向运动模糊,包括tb和MATLAB辅助验证
本项目基于FPGA实现图像运动模糊算法,包含横向与纵向模糊处理流程。使用Vivado 2019.2与MATLAB 2022A,通过一维卷积模拟点扩散函数,完成图像退化处理,并可在MATLAB中预览效果。
|
3月前
|
数据可视化 Serverless 计算机视觉
合成孔径雷达,非线性调频信号的MATLAB程序,NLFM信号
合成孔径雷达,非线性调频信号的MATLAB程序,NLFM信号
66 0
|
5月前
|
算法
基于MATLAB的二维圆形随机骨料生成程序
基于MATLAB的二维圆形随机骨料生成程序
|
8月前
|
算法 数据安全/隐私保护 计算机视觉
基于FPGA的图像双线性插值算法verilog实现,包括tb测试文件和MATLAB辅助验证
本项目展示了256×256图像通过双线性插值放大至512×512的效果,无水印展示。使用Matlab 2022a和Vivado 2019.2开发,提供完整代码及详细中文注释、操作视频。核心程序实现图像缩放,并在Matlab中验证效果。双线性插值算法通过FPGA高效实现图像缩放,确保质量。
|
6月前
|
机器学习/深度学习 算法 数据安全/隐私保护
基于FPGA的SNN脉冲神经网络之LIF神经元verilog实现,包含testbench
本项目展示了 LIF(Leaky Integrate-and-Fire)神经元算法的实现与应用,含无水印运行效果预览。基于 Vivado2019.2 开发,完整代码配有中文注释及操作视频。LIF 模型模拟生物神经元特性,通过积分输入信号并判断膜电位是否达阈值产生脉冲,相较于 Hodgkin-Huxley 模型更简化,适合大规模神经网络模拟。核心程序片段示例,助您快速上手。
|
7月前
|
算法 数据安全/隐私保护 异构计算
基于LSB最低有效位的音频水印嵌入提取算法FPGA实现,包含testbench和MATLAB对比
本项目展示了一种基于FPGA的音频水印算法,采用LSB(最低有效位)技术实现版权保护与数据追踪功能。使用Vivado2019.2和Matlab2022a开发,完整代码含中文注释及操作视频。算法通过修改音频采样点的最低有效位嵌入水印,人耳难以察觉变化。然而,面对滤波或压缩等攻击时,水印提取可能受影响。该项目运行效果无水印干扰,适合实时应用场景,核心逻辑简单高效,时间复杂度低。
|
7月前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的2ASK+帧同步系统verilog开发,包含testbench,高斯信道,误码统计,可设置SNR
本内容展示了基于Vivado2019.2的算法仿真效果,包括设置不同信噪比(SNR=8db和20db)下的结果及整体波形。同时,详细介绍了2ASK调制解调技术的原理与实现,即通过改变载波振幅传输二进制信号,并提供数学公式支持。此外,还涉及帧同步理论,用于确定数据帧起始位置。最后,给出了Verilog核心程序代码,实现了2ASK解调与帧同步功能,结合DDS模块生成载波信号,完成信号处理流程。
127 0

热门文章

最新文章