基于FPGA的图像RGB转HSV实现,包含testbench和MATLAB辅助验证程序

简介: 基于FPGA的图像RGB转HSV实现,包含testbench和MATLAB辅助验证程序

1.算法运行效果图预览

69a3e6e5d1f2fab609ff06d082dfdc98_82780907_202401252330130053637405_Expires=1706197213&Signature=50RwwxrFJu6uC7N1ucPQLc%2Bmu58%3D&domain=8.jpeg

将FPGA的仿真结果导入到matlab中:

a79569a11cbb6b1ea8809265410d350c_82780907_202401252330230240335121_Expires=1706197223&Signature=TqmHgC3C6fE6CNDyWZoicqowZgQ%3D&domain=8.jpeg

2.算法运行软件版本
vivado2019.2

matlab2022a

3.算法理论概述
在数字图像处理中,色彩空间的转换是常见的操作。其中,RGB和HSV是两种经常使用的色彩空间。RGB基于红、绿、蓝三种颜色的组合,而HSV则代表色相、饱和度和明度。本文将探讨如何基于FPGA实现RGB到HSV的转换,并深入讨论其背后的原理和数学公式。

3.1. RGB与HSV色彩空间
RGB色彩空间:RGB色彩模型采用三维笛卡尔坐标系统,红、绿、蓝三原色位于三个角上。原色值位于坐标轴上的点,而其他颜色则位于立方体内部。通过三原色的不同强度组合,可以得到各种颜色。 RGB是从颜色发光的原理来设计定的,通俗点说它的颜色混合方式就好像有红、绿、蓝三盏灯,当它们的光相互叠合的时候,色彩相混,而亮度却等于两者亮度之总和,越混合亮度越高,即加法混合。红、绿、蓝三个颜色通道每种色各分为256阶亮度,在0时“灯”最弱——是关掉的,而在255时“灯”最亮。当三色灰度数值相同时,产生不同灰度值的灰色调,即三色灰度都为0时,是最暗的黑色调;三色灰度都为255时,是最亮的白色调。在电脑中,RGB的所谓“多少”就是指亮度,并使用整数来表示。通常情况下,RGB各有256级亮度,用数字表示为从0、1、2...直到255。注意虽然数字最高是255,但0也是数值之一,因此共256级。

   HSV色彩空间:HSV色彩空间更加接近人类视觉对色彩的感知。其中,H(Hue)代表色相,表示颜色的基本属性;S(Saturation)代表饱和度,表示颜色的深浅;V(Value)代表明度,表示颜色的明亮程度。

   HSV是一种比较直观的颜色模型,所以在许多图像编辑工具中应用比较广泛,这个模型中颜色的参数分别是:色调(H, Hue),饱和度(S,Saturation),明度(V, Value)。

色调H

    用角度度量,取值范围为0°~360°,从红色开始按逆时针方向计算,红色为0°,绿色为120°,蓝色为240°。它们的补色是:黄色为60°,青色为180°,品红为300°;

饱和度S

    饱和度S表示颜色接近光谱色的程度。一种颜色,可以看成是某种光谱色与白色混合的结果。其中光谱色所占的比例愈大,颜色接近光谱色的程度就愈高,颜色的饱和度也就愈高。饱和度高,颜色则深而艳。光谱色的白光成分为0,饱和度达到最高。通常取值范围为0%~100%,值越大,颜色越饱和。

明度V

    明度表示颜色明亮的程度,对于光源色,明度值与发光体的光亮度有关;对于物体色,此值和物体的透射比或反射比有关。通常取值范围为0%(黑)到100%(白)。

3.2. RGB到HSV转换原理
RGB到HSV的转换涉及以下步骤:

首先将RGB值标准化到[0,1]范围。对于8位的RGB值,可以通过除以255来完成这一步。

4068e8b779f469bf48b75dfaef1802dc_82780907_202401252330340787578791_Expires=1706197234&Signature=YDjf36juv%2FPIiXI%2F3FjsyTCZHTI%3D&domain=8.png

然后计算色相H,饱和度S,明度V

c83fb1687dee6c4bc3f085cd850f5bee_82780907_202401252330450131234287_Expires=1706197245&Signature=9h5GUT8RhYpgkdpZ%2Fj4JiCEFpHM%3D&domain=8.png

4.部分核心程序

````timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2023/08/01
// Design Name:
// Module Name: RGB2gray
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//

module test_image;

reg i_clk;
reg i_rst;
reg [7:0] Rbuff [0:100000];
reg [7:0] Gbuff [0:100000];
reg [7:0] Bbuff [0:100000];
reg [7:0] i_Ir,i_Ig,i_Ib;
wire [7:0] o_H,o_S,o_V;
integer fids1,dat1,fids2,dat2,fids3,dat3,jj=0;

//D:\FPGA_Proj\FPGAtest\codepz
initial
begin
fids1 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\R.bmp","rb");
dat1 = $fread(Rbuff,fids1);
$fclose(fids1);
end

initial
begin
fids2 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\G.bmp","rb");
dat2 = $fread(Gbuff,fids2);
$fclose(fids2);
end

initial
begin
fids3 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\B.bmp","rb");
dat3 = $fread(Bbuff,fids3);
$fclose(fids3);
end

initial
begin
i_clk=1;
i_rst=1;

1200;

i_rst=0;
end

always #5 i_clk=~i_clk;

always@(posedge i_clk)
begin
i_Ir<=Rbuff[jj];
i_Ig<=Gbuff[jj];
i_Ib<=Bbuff[jj];
jj<=jj+1;
end

main_RGB2HSV main_RGB2HSV_u(
.i_clk (i_clk),
.i_rst (i_rst),
.i_image_R (i_Ir),
.i_image_G (i_Ig),
.i_image_B (i_Ib),
.o_H (o_H),// Y
.o_S (o_S),// Y
.o_V (o_V)
);

integer fout1;
initial begin
fout1 = $fopen("H.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout1,"%d\n",o_H);
else
$fwrite(fout1,"%d\n",0);
end

integer fout2;
initial begin
fout2 = $fopen("S.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout2,"%d\n",o_S);
else
$fwrite(fout2,"%d\n",0);
end

integer fout3;
initial begin
fout3 = $fopen("V.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout3,"%d\n",o_V);
else
$fwrite(fout3,"%d\n",0);
end

endmodule

```

相关文章
|
16天前
|
监控 算法 数据安全/隐私保护
基于三帧差算法的运动目标检测系统FPGA实现,包含testbench和MATLAB辅助验证程序
本项目展示了基于FPGA与MATLAB实现的三帧差算法运动目标检测。使用Vivado 2019.2和MATLAB 2022a开发环境,通过对比连续三帧图像的像素值变化,有效识别运动区域。项目包括完整无水印的运行效果预览、详细中文注释的代码及操作步骤视频,适合学习和研究。
|
2月前
|
监控 算法 安全
基于颜色模型和边缘检测的火焰识别FPGA实现,包含testbench和matlab验证程序
本项目展示了基于FPGA的火焰识别算法,可在多种应用场景中实时检测火焰。通过颜色模型与边缘检测技术,结合HSV和YCbCr颜色空间,高效提取火焰特征。使用Vivado 2019.2和Matlab 2022a实现算法,并提供仿真结果与测试样本。FPGA平台充分发挥并行处理优势,实现低延迟高吞吐量的火焰检测。项目包含完整代码及操作视频说明。
|
5月前
|
算法 计算机视觉 异构计算
基于FPGA的图像一维FFT变换IFFT逆变换verilog实现,包含tb测试文件和MATLAB辅助验证
```markdown ## FPGA 仿真与 MATLAB 显示 - 图像处理的 FFT/IFFT FPGA 实现在 Vivado 2019.2 中仿真,结果通过 MATLAB 2022a 展示 - 核心代码片段:`Ddddddddddddddd` - 理论:FPGA 实现的一维 FFT/IFFT,加速数字信号处理,适用于高计算需求的图像应用,如压缩、滤波和识别 ```
|
4月前
|
异构计算
FPGA进阶(3):SDRAM读写控制器的设计与验证(二)
FPGA进阶(3):SDRAM读写控制器的设计与验证(二)
35 0
|
4月前
|
异构计算 内存技术
FPGA进阶(3):SDRAM读写控制器的设计与验证(一)
FPGA进阶(3):SDRAM读写控制器的设计与验证
100 0
|
3月前
|
安全
【2023高教社杯】D题 圈养湖羊的空间利用率 问题分析、数学模型及MATLAB代码
本文介绍了2023年高教社杯数学建模竞赛D题的圈养湖羊空间利用率问题,包括问题分析、数学模型建立和MATLAB代码实现,旨在优化养殖场的生产计划和空间利用效率。
169 6
【2023高教社杯】D题 圈养湖羊的空间利用率 问题分析、数学模型及MATLAB代码
|
3月前
|
存储 算法 搜索推荐
【2022年华为杯数学建模】B题 方形件组批优化问题 方案及MATLAB代码实现
本文提供了2022年华为杯数学建模竞赛B题的详细方案和MATLAB代码实现,包括方形件组批优化问题和排样优化问题,以及相关数学模型的建立和求解方法。
118 3
【2022年华为杯数学建模】B题 方形件组批优化问题 方案及MATLAB代码实现
|
3月前
|
数据采集 存储 移动开发
【2023五一杯数学建模】 B题 快递需求分析问题 建模方案及MATLAB实现代码
本文介绍了2023年五一杯数学建模竞赛B题的解题方法,详细阐述了如何通过数学建模和MATLAB编程来分析快递需求、预测运输数量、优化运输成本,并估计固定和非固定需求,提供了完整的建模方案和代码实现。
86 0
【2023五一杯数学建模】 B题 快递需求分析问题 建模方案及MATLAB实现代码
|
6月前
|
数据安全/隐私保护
耐震时程曲线,matlab代码,自定义反应谱与地震波,优化源代码,地震波耐震时程曲线
地震波格式转换、时程转换、峰值调整、规范反应谱、计算反应谱、计算持时、生成人工波、时频域转换、数据滤波、基线校正、Arias截波、傅里叶变换、耐震时程曲线、脉冲波合成与提取、三联反应谱、地震动参数、延性反应谱、地震波缩尺、功率谱密度
基于混合整数规划的微网储能电池容量规划(matlab代码)
基于混合整数规划的微网储能电池容量规划(matlab代码)