FPGA(5)--VHDL--10十进制计数器及7段显示译码器

简介: FPGA(5)--VHDL--10十进制计数器及7段显示译码器

一、实验目的



掌握一般性计数器的VHDL设计方法,熟悉程序文本和原理图结合方法设计电路。掌握CASE语句的基本使用方法。


二、实验内容



首先用VHDL语言设计10进制计数器,要求电路具有复位端和使能端,仿真验证其正确性,并将其封装成一个元件;

用两个10进制计数器扩展成一个100进制计数器,注意两个10进制计数器间管脚的连接方式,画出其原理图并用QUARTUSⅡ软件仿真验证,仿真验证所设计电路的功能;

首先用CASE语句设计7段显示译码器电路,仿真验证其正确性,并将其封装成一个元件;用7段显示译码器将100进制计数器的两组4位二进制输出转换为10进制显示,画出其原理图并用QUARTUSⅡ软件仿真验证.


20210714203635674.png

20210714203642523.png


三、实验设计



1.首先用VHDL语言设计一个10进制计数器,该计数器具有复位端和使能端,因此需要使用条件语句实现其功能,并且应该是四位的输入输出。VHDL代码如下:


Library ieee;
Use ieee.Std_Logic_1164.All;
Use ieee.Std_Logic_Unsigned.All;
Entity count10 is
  port(clk,rst,en,load: in Std_Logic;
      data: in Std_Logic_Vector (3 downto 0);
      dout: out Std_Logic_Vector (3 downto 0);
      cout: out Std_Logic);
End Entity count10;
Architecture bhv of count10 is
begin
  process (clk,rst,en,load)
  variable q: Std_Logic_Vector (3 downto 0);
  begin
    if rst='0' then q:=(others=>'0');
    elsif clk 'event and clk='1' then
    if en='1' then
      if (load='0') then q:=data; else
      if q<9 then q:=q+1;
      else q:=(others=>'0');
      end if;
      end if;
    end if;
    end if;
    if q="1001" then cout<='1';
    else cout<='0';
    end if;
    dout<=q;
  End process;
End Architecture bhv;


验证其正确性,仿真波形图如下:


20210714203815328.png

2. 用两个10进制计数器扩展成一个100进制计数器,注意其引脚的连接方式。


2021071420383514.png


仿真验证其正确性,波形图如下:


20210714203854131.png


3. 用CASE语句设计7段显示译码器电路,根据不同数字对应显示不同数码管的情况,设计正确的对应关系。VHDL代码如下:


LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL ;
use Ieee.std_logic_unsigned.all;
entity led7 is
  port (indata: in std_logic_vector(3 downto 0);
    odata: out std_logic_vector(6 downto 0));
end entity led7;
architecture bhv of led7 is
  begin
  process (indata)
  begin
  case (indata) is
    when "0000" => odata<= "0111111" ;
    when "0001" => odata<= "0001110" ;
    when "0010" => odata<= "1011011" ;
    when "0011" => odata<= "1001111" ;
    when "0100" => odata<= "1100110" ;
    when "0101" => odata<= "1101101" ;
    when "0110" => odata<= "1111101" ;
    when "0111" => odata<= "0000111" ;
    when "1000" => odata<= "1111111" ;
    when "1001" => odata<= "1101111" ;
    when others => null;
  end case;
  end process;
end architecture bhv;


将其封装成一个元件。在VHDL描述页面,选file→create/update→create symbol files for current file,把编写的代码封装成一个元件。


20210714204029651.png

用7段显示译码器将100进制计数器的两组4位二进制输出转换为10进制显示。原理图如下:


20210714204046177.png


验证其正确性,仿真波形如下:


20210714204106829.png



相关文章
|
6月前
|
算法 异构计算 Python
m基于FPGA的217卷积编码维特比译码verilog实现,包含testbench不使用IP核
该内容展示了使用Vivado 2019.2进行卷积编码和维特比译码的模拟。提供了编码、译码输出和RTL图的图片,以及Verilog实现的核心代码。卷积编码是一种前向纠错技术,基于生成多项式产生冗余数据;维特比译码利用动态规划恢复原始信息,最小化错误。Verilog程序包含了编码和译码模块,以及输入输出信号的时序操作。
95 0
|
算法 异构计算
通过状态机方法实现基于FPGA的维特比译码器,包含testbench测试文件
通过状态机方法实现基于FPGA的维特比译码器,包含testbench测试文件
162 0
|
编解码 算法 异构计算
m基于FPGA的LDPC最小和译码算法verilog实现,包括testbench和matlab辅助验证程序
m基于FPGA的LDPC最小和译码算法verilog实现,包括testbench和matlab辅助验证程序
246 0
|
算法 异构计算
m基于FPGA的viterbi译码verilog实现,包含testbench和MATLAB配套验证仿真程序
m基于FPGA的viterbi译码verilog实现,包含testbench和MATLAB配套验证仿真程序
169 0
|
异构计算
FPGA(6)--7段显示译码器的加法计数器
FPGA(6)--7段显示译码器的加法计数器
244 1
FPGA(6)--7段显示译码器的加法计数器
|
异构计算
FPGA 8-3译码器(二)
FPGA 8-3译码器(二)
347 0
FPGA 8-3译码器(二)
|
异构计算
FPGA 8-3译码器(一)
FPGA 8-3译码器(一)
93 0
FPGA 8-3译码器(一)
|
芯片 异构计算
数电FPGA实验:实验一 基于FPGA的计数器设计 (基本任务:采用原理图法设计一个十进制计数器,完成波形功能仿真和时序仿真。拓展任务1:采用原理图法设计一个六进制计数器,完成波形功能仿真和时序仿真)
数电FPGA实验:实验一 基于FPGA的计数器设计 (基本任务:采用原理图法设计一个十进制计数器,完成波形功能仿真和时序仿真。拓展任务1:采用原理图法设计一个六进制计数器,完成波形功能仿真和时序仿真)
589 0
数电FPGA实验:实验一 基于FPGA的计数器设计 (基本任务:采用原理图法设计一个十进制计数器,完成波形功能仿真和时序仿真。拓展任务1:采用原理图法设计一个六进制计数器,完成波形功能仿真和时序仿真)
FPGA-(任务01)设计一个三位二进制减法计数器
FPGA-(任务01)设计一个三位二进制减法计数器
163 0
FPGA-(任务01)设计一个三位二进制减法计数器
FPGA-实例化的通俗理解(3-8译码器为例)
FPGA-实例化的通俗理解(3-8译码器为例)
518 0
FPGA-实例化的通俗理解(3-8译码器为例)

热门文章

最新文章