基于FPGA的图像RGB转HLS实现,包含testbench和MATLAB辅助验证程序

简介: 基于FPGA的图像RGB转HLS实现,包含testbench和MATLAB辅助验证程序

1.算法运行效果图预览

44f306fd8fe2e08343c4e132f7e5ab4c_82780907_202401252324350270971831_Expires=1706196875&Signature=s3fbSBs7lTGtlKJvRVjaaIvVOyc%3D&domain=8.jpeg

将FPGA结果导入到MATLAB显示效果:

46aa2a0fc2c06571fa6d2eb2e8d55ff5_82780907_202401252324440894905414_Expires=1706196884&Signature=FjbT1Xd18flfFniH3o6BDsPmX%2FE%3D&domain=8.jpeg

2.算法运行软件版本
Vivado2019.2

matlab2022a

3.算法理论概述
在数字图像处理中,RGB和HLS是两种常见的颜色空间。RGB基于红绿蓝三种基本颜色的叠加来定义其他颜色,而HLS则代表色调、亮度和饱和度,它更接近人类视觉对颜色的感知。将RGB图像转换为HLS图像的目的通常是为了更方便地进行某些类型的图像处理,比如色彩平衡和色彩分离。RGB颜色空间基于笛卡尔坐标系,其中R、G、B分别代表红、绿、蓝三种颜色的强度。HLS颜色空间则是基于圆柱坐标系,其中H代表色调(0-360度),L代表亮度(0-1),S代表饱和度(0-1)。

    转换的第一步是将RGB值归一化到[0,1]范围。然后,通过计算RGB颜色空间的最大值和最小值来得到亮度L。色调H由RGB中的最大值和最小值决定,并使用反正切函数来得到0-360度的角度。最后,饱和度S基于最大值和亮度L来计算。

具体的转换公式如下:

将RGB值归一化到[0,1]:
R' = R/255
G' = G/255
B' = B/255

3.1计算最大值和最小值

Max = max(R', G', B')
Min = min(R', G', B')
Diff = Max - Min

3.2计算亮度L

L = (Max + Min) / 2

3.3计算饱和度S
if L < 0.5:
S = Diff / (Max + Min)
else:
S = Diff / (2 - Max - Min)

3.4计算色调H

if Diff == 0:
H = 0
else:
if Max == R':
H = (60 ((G' - B') / Diff) + 360) % 360
elif Max == G':
H = (60
((B' - R') / Diff) + 120) % 360
elif Max == B':
H = (60 * ((R' - G') / Diff) + 240) % 360

   这些公式可以将每一个像素从RGB颜色空间转换到HLS颜色空间。值得注意的是,这种转换通常是可逆的,也就是说,你也可以从HLS颜色空间转换回RGB颜色空间。在实现RGB到HLS的转换时,通常会先读取一幅RGB图像,然后将上述公式应用于图像中的每一个像素。

4.部分核心程序
````timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2023/08/01
// Design Name:
// Module Name: RGB2gray
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//

module test_image;

reg i_clk;
reg i_rst;
reg [7:0] Rbuff [0:100000];
reg [7:0] Gbuff [0:100000];
reg [7:0] Bbuff [0:100000];
reg [7:0] i_Ir,i_Ig,i_Ib;
wire [7:0] o_H;
wire [7:0] o_L,o_S;
integer fids1,dat1,fids2,dat2,fids3,dat3,jj=0;

//D:\FPGA_Proj\FPGAtest\codepz
initial
begin
fids1 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\R.bmp","rb");
dat1 = $fread(Rbuff,fids1);
$fclose(fids1);
end

initial
begin
fids2 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\G.bmp","rb");
dat2 = $fread(Gbuff,fids2);
$fclose(fids2);
end

initial
begin
fids3 = $fopen("D:\FPGA_Proj\FPGAtest\codepz\B.bmp","rb");
dat3 = $fread(Bbuff,fids3);
$fclose(fids3);
end

initial
begin
i_clk=1;
i_rst=1;

1200;

i_rst=0;
end

always #5 i_clk=~i_clk;

always@(posedge i_clk)
begin
i_Ir<=Rbuff[jj];
i_Ig<=Gbuff[jj];
i_Ib<=Bbuff[jj];
jj<=jj+1;
end

main_RGB2HLS main_RGB2HLS_u(
.i_clk (i_clk),
.i_rst (i_rst),
.i_image_R (i_Ir),
.i_image_G (i_Ig),
.i_image_B (i_Ib),
.o_H (o_H),// Y
.o_L (o_L),// Y
.o_S (o_S)
);

integer fout1;
initial begin
fout1 = $fopen("H.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout1,"%d\n",o_H);
else
$fwrite(fout1,"%d\n",0);
end

integer fout2;
initial begin
fout2 = $fopen("L.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout2,"%d\n",o_L);
else
$fwrite(fout2,"%d\n",0);
end

integer fout3;
initial begin
fout3 = $fopen("S.txt","w");
end

always @ (posedge i_clk)
begin
if(jj<=66616)
$fwrite(fout3,"%d\n",o_S);
else
$fwrite(fout3,"%d\n",0);
end
endmodule

```

相关文章
|
12天前
|
编解码 算法 异构计算
基于FPGA的NC图像质量评估verilog实现,包含testbench和MATLAB辅助验证程序
在Vivado 2019.2和Matlab 2022a中测试的图像质量评估算法展示了效果。该算法基于NC指标,衡量图像与原始图像的相似度,关注分辨率、色彩深度和失真。提供的Verilog代码段用于读取并比较两个BMP文件,计算NC值。
|
23天前
|
算法 异构计算
m基于FPGA的MPPT最大功率跟踪算法verilog实现,包含testbench
该内容包括三部分:1) 展示了Vivado 2019.2和Matlab中关于某种算法的仿真结果图像,可能与太阳能光伏系统的最大功率点跟踪(MPPT)相关。2) 简述了MPPT中的爬山法原理,通过调整光伏电池工作点以找到最大功率输出。3) 提供了一个Verilog程序模块`MPPT_test_tops`,用于测试MPPT算法,其中包含`UI_test`和`MPPT_module_U`两个子模块,处理光伏电流和电压信号。
17 1
|
23天前
|
编解码 算法 异构计算
m基于CCSDS标准的LDPC编码器的FPGA实现,包含testbench,码长1024,码率0.5
在Vivado 2019.2中进行的LDPC码仿真展示了算法的良好效果。LDPC码是一种1962年由Gallager提出的稀疏校验矩阵线性分组码,利用Tanner图表示编码解码结构。CCSDS标准定义的LDPC(1024,512)码具有准循环结构,适用于空间通信,其编码通过填充信息比特和校验节点的线性组合实现。Verilog代码示例展示了TEST_encoder_top模块,用于控制LDPC编码过程,包括时钟、复位信号处理和中间数据读取。
26 1
|
23天前
|
存储 算法 异构计算
m基于FPGA的多功能信号发生器verilog实现,包含testbench,可以调整波形类型,幅度,频率,初始相位等
使用Vivado 2019.2仿真的DDS信号发生器展示了正弦、方波、锯齿波和三角波的输出,并能调整幅度和频率。DDS技术基于高速累加器、查找表和DAC,通过频率控制字和初始相位调整产生各种波形。Verilog程序提供了一个TEST模块,包含时钟、复位、信号选择、幅度和频率控制输入,以生成不同波形。
47 18
|
23天前
|
数据安全/隐私保护
地震波功率谱密度函数、功率谱密度曲线,反应谱转功率谱,matlab代码
地震波格式转换、时程转换、峰值调整、规范反应谱、计算反应谱、计算持时、生成人工波、时频域转换、数据滤波、基线校正、Arias截波、傅里叶变换、耐震时程曲线、脉冲波合成与提取、三联反应谱、地震动参数、延性反应谱、地震波缩尺、功率谱密度
|
23天前
|
数据安全/隐私保护
耐震时程曲线,matlab代码,自定义反应谱与地震波,优化源代码,地震波耐震时程曲线
地震波格式转换、时程转换、峰值调整、规范反应谱、计算反应谱、计算持时、生成人工波、时频域转换、数据滤波、基线校正、Arias截波、傅里叶变换、耐震时程曲线、脉冲波合成与提取、三联反应谱、地震动参数、延性反应谱、地震波缩尺、功率谱密度
基于混合整数规划的微网储能电池容量规划(matlab代码)
基于混合整数规划的微网储能电池容量规划(matlab代码)
|
23天前
|
算法 调度
面向配电网韧性提升的移动储能预布局与动态调度策略(matlab代码)
面向配电网韧性提升的移动储能预布局与动态调度策略(matlab代码)
|
23天前
|
算法 调度
含多微网租赁共享储能的配电网博弈优化调度(含matlab代码)
含多微网租赁共享储能的配电网博弈优化调度(含matlab代码)
|
23天前
|
运维 算法
基于改进遗传算法的配电网故障定位(matlab代码)
基于改进遗传算法的配电网故障定位(matlab代码)

热门文章

最新文章