|
3月前
|
存储 缓存 Android开发
|

安卓Jetpack Compose+Kotlin, 使用ExoPlayer播放多个【远程url】音频,搭配Okhttp库进行下载和缓存,播放完随机播放下一首

这是一个Kotlin项目,使用Jetpack Compose和ExoPlayer框架开发Android应用,功能是播放远程URL音频列表。应用会检查本地缓存,如果文件存在且大小与远程文件一致则使用缓存,否则下载文件并播放。播放完成后或遇到异常,会随机播放下一首音频,并在播放前随机设置播放速度(0.9到1.2倍速)。代码包括ViewModel,负责音频管理和播放逻辑,以及UI层,包含播放和停止按钮。

233 0
|
3月前
|
存储 Android开发 Kotlin
|

Kotlin开发安卓app,在使用 MediaPlayer 播放 res/raw 中的音乐时遇到突然中断的问题,而 onErrorListener 没有接收到任何报错

在使用 Android MediaPlayer 播放 res/raw 中的音乐时遇到中断问题,可能的原因包括资源问题、媒体文件编码格式、生命周期管理和设备资源配置。要排查问题,检查音频文件是否正确包含,格式编码是否支持,MediaPlayer 是否正确管理及释放,以及设备是否有足够存储和配置。通过设置 onErrorListener 日志和确保在 onDestroy 中释放资源来调试。如果文件过大,考虑使用 AssetManager。遵循这些步骤可帮助诊断并解决播放中断的问题。

135 0
|
3月前
|
Android开发 Kotlin
|

kotlin开发安卓应用 如何修改app安装后的名称

在 Android 应用中,要修改安装后的显示名称,需更新 AndroidManifest.xml 文件中 application 标签的 android:label 属性。可直接在该属性内设置新名称,或在 res/values/strings.xml 文件中修改 app_name 并在 manifest 中引用。推荐使用 strings.xml 方式,以便支持多语言和集中管理。

153 0
|
3月前
|
存储 数据库 Android开发
|

安卓Jetpack Compose+Kotlin,支持从本地添加音频文件到播放列表,支持删除,使用ExoPlayer播放音乐

为了在UI界面添加用于添加和删除本地音乐文件的按钮,以及相关的播放功能,你需要实现以下几个步骤: 1. **集成用户选择本地音乐**:允许用户从设备中选择音乐文件。 2. **创建UI按钮**:在界面中创建添加和删除按钮。 3. **数据库功能**:使用Room数据库来存储音频文件信息。 4. **更新ViewModel**:处理添加、删除和播放音频文件的逻辑。 5. **UI实现**:在UI层支持添加、删除音乐以及播放功能。

172 1
|
3月前
|
Android开发 Kotlin
|

安卓Jetpack Compose+Kotlin, 使用ExoPlayer播放多个【本地】音频,播放完随机播放下一首,遇到播放错误,也自动播放下一首

使用Kotlin和Jetpack Compose开发的安卓应用中,实现了两个EvoPlayer同时播放res/raw目录下的音频。一个音轨播放人声(顺序播放),另一个播放背景音乐(随机播放)。每个音轨都有独立的播放和停止控制,且在播放结束或遇到错误时会自动切换到下一首。MediaPlayer置于ViewModel中,UI界面包含播放和停止按钮,控制两个音轨。每次切换音频前,还会随机调整播放速度在0.9到1.2之间。代码示例展示了如何创建ViewModel和UI以实现这一功能。

215 0
|
3月前
|
监控 Linux Python
|

python自研流星监控系统meteor_monitor(第二篇)

该文介绍了替代流星监控软件UFOcaptureHD2的新方案,强调了原软件的性能消耗大和收费问题。文中提供了一个GitHub链接以获取最新代码。推荐使用配备Windows 10/11、2.4GHz四核CPU的主机,搭配索尼MX291摄像头进行监控。程序基于ffmpeg,支持不同编码器,如mjpeg、h264_qsv等,具体编码器选择取决于硬件环境。安装涉及创建虚拟环境、安装Python 3.10+及依赖项,并提供了Windows和Linux的详细步骤。此外,程序通过帧差法进行运动检测,然后过滤掉非流星目标,最后使用ffmpeg对原始视频切片并存储。

163 1
|
3月前
|
IDE 物联网 网络性能优化
|

什么是MQTT?如何使用ESP12F芯片连接到MQTT服务器

通过上述步骤,你可以成功地使用ESP12F模块连接到MQTT服务器,发布和订阅消息。MQTT的轻量级和高效性使其非常适合各种物联网应用,而ESP12F模块的强大功能和低成本使其成为实现这些应用的理想选择。

134 0
|
3月前
|
传感器 IDE 开发工具
|

使用两块ESP8266实现ESP-NOW通信

ESP-NOW是一个强大的协议,可以在没有Wi-Fi网络的情况下实现设备间的快速通信。通过以上步骤,你可以使用两块ESP8266开发板建立一个简单的ESP-NOW通信系统。这种方式特别适用于低功耗、低延迟和无需网络基础设施的应用场景。希望这篇博客能帮你快速入门ESP-NOW,开启你的无线通信开发之旅。

331 4
|
3月前
|
监控 IDE 物联网
|

使用ESP32和OV2640进行图传

本文详细介绍了如何使用ESP32和OV2640进行图像传输。通过硬件连接、软件配置和编程实现,我们可以轻松地将摄像头捕捉的图像通过WiFi传输到浏览器中进行查看。这一技术在智能家居、安防监控等领域具有广阔的应用前景。希望这篇文章能为您提供有价值的参考。

297 1
|
3月前
|

使用STM32F103标准库实现定时器控制LED点亮和关闭

通过这篇博客,我们学习了如何使用STM32F103标准库,通过定时器来控制LED的点亮和关闭。我们配置了定时器中断,并在中断处理函数中实现了LED状态的切换。这是一个基础且实用的例子,适合初学者了解STM32定时器和中断的使用。 希望这篇博客对你有所帮助。如果有任何问题或建议,欢迎在评论区留言。

235 2
|
3月前
|
IDE 开发工具
|

使用STM32F103标准库实现自定义键盘

通过本文,我们学习了如何使用STM32F103标准库实现一个简单的自定义键盘。我们首先初始化了GPIO引脚,然后实现了一个扫描函数来检测按键状态。这个项目不仅能够帮助我们理解STM32的GPIO配置和按键扫描原理,还可以作为进一步学习中断处理和低功耗设计的基础。希望本文对你有所帮助,祝你在嵌入式开发的道路上不断进步!

418 4
|
3月前
|
存储 Android开发
|

安卓app,MediaPlayer播放本地音频 | 按钮控制播放和停止

在Jetpack Compose中,不直接操作原生Android组件如`Button`和`MediaPlayer`,而是使用Compose UI构建器定义界面并结合ViewModel管理音频播放逻辑。以下示例展示如何播放本地音频并用按钮控制播放/停止:创建一个`AudioPlayerViewModel`管理`MediaPlayer`实例和播放状态,然后在Compose UI中使用`Button`根据`isPlaying`状态控制播放。记得在`MainActivity`设置Compose UI,并处理相关依赖和权限。

241 3
|
3月前
|
存储 监控 Java
|

python自研流星监控系统meteor_monitor(第一篇)

本文介绍了作者开发的一个Python流星监控系统,替代了性能不佳且收费的ufocapturehd2软件。系统采用Win10相机应用低耗录制视频,通过SikuliX进行自动化控制,分段录制并存储到本地,然后通过脚本同步到NAS。视频分析使用帧差法检测流星,支持分布式分析。代码已更新,旧文章不再适用,最新内容可见:[用python自行开发的流星监控系统meteor_monitor(第二篇)-CSDN博客](https://github.com/xingxinghuo1000/meteor_monitor_scripts.git)。

69 4
|
3月前
|
存储 Python
|

基于树莓派的流星雨监测系统(RMS)的进一步改造(1)

本文介绍了如何搭建和改造流星雨监测系统,主要涉及两个步骤。首先,文章提供了访问[此处链接](https://blog.csdn.net/delacroix_xu/article/details/119813807)来了解如何搭建系统。接着,针对系统输出的.bin文件格式,作者改造了FRbinViewer.py脚本,增加了输出MP4和GIF格式的功能。改造后的脚本可以根据用户选择将检测到的流星雨帧保存为.gif或.mp4格式,并提供了相应的参数设置。此外,文章还包含了代码示例以展示如何实现这一功能。

71 1
|
3月前
|
存储 Shell 开发工具
|

基于树莓派的流星雨监测系统(RMS)的搭建

该文介绍了基于树莓派的流星雨监测系统的改造系列,包括改造的第二和第三部分。作者分享了选用索尼IMX291 USB摄像头和大光圈镜头的设备选型,并提供了树莓派的固定及防反光处理方法。文章简化了RMS安装过程,强调了针对USB摄像头用户的安装步骤,通过创建venv、安装依赖库和脚本实现开机自动运行。此外,还调整了系统参数以适应城市光污染环境,并修改了监测时间以避免室内灯光干扰。

62 3
|
3月前
|
Web App开发
|

updog的一个bug修复 支持多线程 视频播放支持跳转

Updog是款实用的局域网多媒体服务器,适合在家观看电视剧。与UC浏览器配合,提供流畅体验。然而,目前存在两个问题:仅允许单用户访问和视频无法跳转。作者已fork原项目,修复这两个bug,并提交了PR([https://github.com/sc0tfree/updog/pull/47r](https://github.com/sc0tfree/updog/pull/47r))。如需立即解决,可直接修改`site-packages/updog/__main__.py`。

60 3
|
3月前
|

基于树莓派的流星雨监测系统(RMS)——一些收货

该文是一个关于流星雨监测系统的系列文章汇总,包括搭建和改造两个部分,详细链接已提供。作者在英仙座流星雨期间使用该系统,捕捉到了几颗流星,并分享了因亮度不足未被程序识别的事件。此外,作者还发现了短暂而超级亮的未知闪光现象,怀疑可能是奇特天文事件,但无法解释,期望得到天文爱好者的帮助。文章附有多张闪光和普通流星的图片作为对比。

42 4
|
3月前
|
机器学习/深度学习 算法 Serverless
|

利用无穷级数逼近计算幂运算与开根号——Python实现

使用泰勒级数逼近法,本文介绍了如何用Python计算特殊幂运算,包括分数次幂和开根号。通过定义辅助函数,如`exp`、`getN_minus_n`、`multi`和`getnum`,实现了计算任意实数次幂的功能。实验结果显示,算法能有效计算不同情况下的幂运算,例如`0.09^2`、`1^2`、`0.25^2`、`0.09^(0.5)`、`1^(0.5)`和`0.25^(0.5)`。虽然精度可能有限,但可通过调整迭代次数平衡精度与计算速度。

96 2
|
3月前
|
芯片
|

汉化FC(红白机)游戏需要用到哪些技术?

这篇文章介绍了FC(红白机)游戏文本汉化的基本流程和技术知识。主要步骤包括分析游戏ROM、寻找文本字符串、学习游戏代码、修改文本、修改ROM头信息、重新映射、测试和修正。文章还提供了汉化实例,详细讲解了如何使用各种工具,如VirtuaNES Debugger、NO$NES、6502 Simulator等进行ROM分析和修改。此外,文章还介绍了如何使用汇编语言编写自定义程序以实现大字体汉化和在游戏画面切换时恢复原来的VROM。整个过程需要对6502汇编语言、NES硬件架构和ROM结构有一定的理解和编程经验。

116 2
|
3月前
|
算法 SDN C++
|

以太网帧FCS校验:CRC32的三种实现

这篇文章讨论了CRC32校验码的三种实现方法,用于检测网络通信中的数据错误。首先介绍了基础的按位计算方法,虽然直观但效率低。接着,文章提出了使用非翻转查找表和翻转查找表的快速计算方法,后者在性能上更优。文中提供了C++代码示例,展示如何生成和使用这些查找表。最后,指出在实际应用中,应根据性能需求选择合适的方法。

341 6
|
3月前
|
传感器 存储 编解码
|

数码相机背后的像素秘密:静态与动态的真相

这篇文章探讨了数码摄影中“动态像素”和“静态像素”的概念。像素是图像质量的关键因素,而CCD或CMOS传感器负责将光信号转化为数字图像。RGB差值补偿算法用于恢复色彩,但牺牲了部分分辨率。所谓“动态像素”更多是营销术语,而非技术标准,它反映了拍照和录像时因硬件限制和处理需求不同而产生的差异。随着技术进步,硬件编码器的引入已显著提升视频处理能力,使得高清摄影和视频录制变得更加普遍。理解这些原理有助于消费者做出更明智的设备选择。

94 4
|
3月前
|
边缘计算 安全 物联网
|

【网卡可能存在的后门】以太网控制器IP核中的潜在安全威胁与自主化思考

本文探讨了2011年作者在FPGA上开发以太网控制器时发现的一个潜在后门。该后门利用以太网协议的特性,通过特定数据触发网卡进入死亡模式,持续产生中断,导致设备无法正常关闭,对无操作系统的嵌入式设备构成威胁。尽管有操作系统的设备受此影响较小,但WiFi网卡可能因广播攻击而受影响。作者强调网卡安全的重要性,认为其比CPU更需自主化,并指出防止CPU后门的关键在于确保驱动和代码无后门,而非仅仅自主化CPU。

66 4
|
3月前
|
运维 芯片
|

主板电源符号揭秘:深入了解VDD、VDDQ、5VSB及其他

本文介绍了计算机主板电源设计中的关键符号,包括VDD(通用数字电路电源)、VDDQ(高稳定度滤波电源)、5VSB和3VSB(待机电源)、VCC3(+3V主要电源)、VDIMM(内存专用电源)、SB(待机电池电源)以及VCORE(CPU核心电压)。这些电源符号各自对应特定的供电区域和功能,确保主板组件的稳定运行。理解这些电源符号对于主板电源管理、故障排查和系统优化具有重要意义。

663 3
|
3月前
|
索引
|

quartus 小技巧—— 分线。例如总线data[31..0],引出的分线为data[7..0]

在数字电路设计中,总线用于并行传输数据,而分线是从总线中提取特定数据位。Quartus II,Altera(现Intel)的EDA工具,支持灵活的总线分线操作。本文介绍了两种在Quartus II中实现分线的方法:一是直接索引,如`data[7:0]`;二是使用Verilog的`extract`操作,尽管在Verilog中直接索引更常见。这些技巧有助于提升设计效率。

171 2
|
3月前
|
消息中间件 Linux Android开发
|

实战高效RPC方案在嵌入式环境中的应用与揭秘

该文介绍了在嵌入式环境中应用和设计高效RPC方案的过程。作者参考了Android的Binder机制,采用共享环形缓冲区来解决进程间同步返回值的问题。选择共享内存是因为其零拷贝、低延迟和灵活访问模式的优势,而环形缓冲区则提供了FIFO特性,便于数据有序传输并优化内存管理。文中提到了关键接口`write`和`read`的实现,以及一个简单的`CalculateSum`接口调用示例,展示了RPC方案的实际效果。该方案旨在提供一种轻量级、高性能的嵌入式RPC通信方法。

76 3
|
3月前
|

电容器在电路设计中的多元角色:全面解析

电容器在电子电路中扮演多种角色:如滤波、退耦、旁路、耦合、调谐等。它们用于滤除杂波、平滑直流、阻止低频信号、连接交流信号、调节频率、稳定振荡等。电容还应用于定时、加速、缩短电路,消除频率影响,预加重和去加重音频信号,以及相位控制、反馈、限流降压等。理解电容的功能对于电子电路设计至关重要。

72 3
|
3月前
|
Linux Shell 虚拟化
|

开机自动挂载NTFS分区至Linux:分步指南

在Linux中自动挂载Windows NTFS分区,需创建挂载点(如`/media/c_win`),识别分区(如`/dev/sda1`),获取UUID,并编辑`fstab`文件添加挂载信息。推荐使用UUID以保持稳定性。在VMware环境中可能需添加`force`选项。完成这些步骤后,重启系统,NTFS分区将自动挂载。这对于双系统用户非常方便。

155 1
|
3月前
|
Linux API C语言
|

C语言读写BMP文件-EasyBmp【 linux 平台】

**EasyBmp** 是一个49KB的轻量级C++图像处理库,专注于BMP格式,提供简单易用的API。它的特点是小巧、开源、易于理解和高度定制。通过示例代码展示了如何轻松读取、缩放和保存BMP图像。适合需要高效处理BMP图像的开发者。

74 0
|
3月前
|

【verilog】同步复位,异步复位以及异步复位同步释放

该文讨论了数字电路设计中触发器复位机制的三种类型:同步复位、异步复位和异步复位同步释放。同步复位在时钟边沿确保稳定性,但对复位脉冲宽度有要求;异步复位响应快速,但可能受干扰且时序不确定;异步复位同步释放则结合两者的优点。设计时需根据需求权衡选择。文中还给出了Verilog代码示例。

148 0
|
3月前
|
监控 算法 数据处理
|

【学习笔记】Verilog之五:任务、函数及其他

在Verilog HDL中,任务(tasks)和函数(functions)增强了代码的可读性和重用性。任务是仅在仿真环境中使用的可封装代码,不可综合为硬件电路。任务定义包括标识符、输入/输出声明和实现代码,调用时需提供参数列表。函数与任务类似,但返回单个值,不包含时序控制,并且可以互相调用。函数定义包括返回值范围、输入声明、变量声明和执行代码。系统任务和函数如 `$display` 和 `$fopen` 提供了显示信息、文件I/O等便利功能,用于仿真控制和调试。禁止语句和命名事件则帮助控制代码执行和事件同步。

71 0
|
3月前
|
C语言
|

【学习笔记】Verilog之四:结构建模方法

Verilog 提供两种建模方法:行为建模和结构建模。行为建模专注于电路功能描述,类似高级编程语言,不涉及底层实现。数据流建模使用 `assign` 进行连续赋值,适合描述组合逻辑。线网可以在声明时直接赋值。顺序行为建模通过 `initial` 和 `always` 语句实现,`initial` 用于一次性初始化,`always` 则用于响应特定事件的重复执行。时序控制包括时延和事件控制,用于精确控制电路行为。阻塞和非阻塞赋值决定了语句执行的顺序和并发性。过程性连续赋值如 `assign-deassign` 和 `force-release` 提供了更多灵活性。

59 0
|
3月前
|
开发框架 监控 .NET
|

【学习笔记】Verilog之三:行为建模方法

Verilog是一种硬件描述语言,用于模拟电子系统的结构和行为。行为建模是Verilog的一种方法,分为数据流行为建模和顺序行为建模。 数据流行为建模主要使用`assign`语句,它描述了电路的功能而不涉及具体结构。连续赋值语句(`assign`)用于给线网分配值,当右端表达式发生变化时,新值会立即赋给线网。此外,还有时延的概念,可以指定赋值或事件发生的延迟时间。

70 2
|
3月前
|
存储 C语言
|

【学习笔记】verilog HDL之二:数据类型与表达式

本文介绍了Verilog语言中的常量、变量和表达式。Verilog有四种基本值:0、1、x(未知)和z(高阻)。整型常量有十进制和基数两种格式,实数型常量包括浮点数,字符串常量由双引号括起的字符序列构成。变量分为线网型和寄存器型,线网型包括wire、tri等11种类型,寄存器型有reg、integer、time等,其中reg可声明存储器。表达式中的操作数包括常数、参数、线网等8种类型,操作符包括算术、关系、逻辑等9种类型。

66 0
|
3月前
|
监控 算法 编译器
|

初识 Verilog HDL , 什么是verilog HDL?

这是一篇关于Verilog HDL的学习笔记摘要。Verilog是一种硬件描述语言,用于数字系统的多层抽象设计,包括行为、数据流和结构。设计流程包括功能设计、Verilog描述、软件模拟、逻辑综合和硬件实现。模块是Verilog的基本单元,代表逻辑实体,通过并行运行和分层连接实现复杂系统。模块包含端口列表和定义,通过模块调用(实例化)实现子模块连接。Verilog的参数声明和预处理指令(如`define、`include和`timescale)增加了代码的可读性和灵活性。笔记指出Verilog与C语言有相似之处,易于学习。

49 0
|
3月前
|
算法 芯片 异构计算
|

Quartus II 中常见Warning 原因及解决方法

这篇内容是关于2010年作者在进行嵌入式FPGA开发时遇到的问题及解决方案的总结。主要问题包括时钟敏感信号在时钟边缘同时变化、信号截断、输出端口未使用、未定义的时钟信号、时钟延迟分析未启用、时序违规等。对应的解决措施涉及编辑vector source file、调整信号位数、添加约束信息、优化设计和设置时序要求等。此外,还包括对预发布设备时序特性的警告以及关于行波和门控时钟的注意事项。

72 0
|
3月前
|
存储
|

关于数字电路中的“反馈”效应

这段内容是关于数字电路中组合电路的特性解释。组合电路的输出仅由当前输入决定,数据有效意味着稳定性,不随时间自发变化。因为无反馈,所以当输入不变时,输出保持稳定,适合进行基于当前输入的计算和逻辑操作。

41 2
|
3月前
|
缓存
|

石英晶体是如何产生振荡的?以及cpu倍频的由来

本文是关于石英晶体振荡器的学习笔记,适合计算机科学与技术背景的读者。内容涵盖了石英晶体振荡器的基本原理,包括压电效应、等效电路、谐振频率,以及不同类型振荡器的特性和参数。此外,还讨论了石英晶体振荡器的小型化、高精度、低噪声、低功耗发展趋势,并列举了它们在石英钟、彩电和通信系统中的应用。最后提到了处理器倍频的概念,解释了其原理和实际应用中的限制。

120 1
|
3月前
|

余三码和8421码的关系以及使用场景

余三码与8421码是两种不同的二进制编码方式,常用于表示十进制数。余三码是8421码加上3形成的无权码,具有自补性和进位信号特点,适合错误检测,但求和需修正。8421码是恒权码,方便二进制与十进制转换,常用于数字显示、数据传输和精确十进制运算。在计算机领域,两者各有应用场景,如BCD码用于七段显示器和精确计算,余三码则用于错误检测和简化算术操作逻辑设计。

250 0
|
3月前
|
存储 安全 算法
|

物联网中的数据加密技术

【6月更文挑战第1天】物联网中的数据加密技术

459 0
|
3月前
|
安全 API 数据安全/隐私保护
|

在django3查看项目和编辑应用权限

【6月更文挑战第5天】本文介绍在Django REST Framework中,权限管理对于Web API的安全至关重要。总的来说,应实施严格的项目级别权限,并按需调整视图级别的权限策略,利用DRF的内置权限系统增强安全性。

44 2
|
3月前
|
前端开发 JavaScript Linux
|

分离前后端react和django3构建的应用

【6月更文挑战第4天】在本文中,我们介绍了如何设置React前端并连接到Django后端。并讨论了前后端分离的好处,并计划扩展API以支持更多HTTP操作和用户身份验证功能。

93 5
|
3月前
|
JSON 测试技术 API
|

迁移django3配置新应用

【6月更文挑战第4天】本文介绍创建应用的具体步骤。首先创建名为`posts`的新Django应用,实现blog API功能。并说明如何编写测试用例,并执行迁移。建议为API版本控制,如v1和v2,以便逐步升级。

43 1
|
3月前
|
存储 缓存 安全
|

【实战指南】轻松自研嵌入式日志框架,6大功能亮点一文读懂

本文介绍了如何自研一个嵌入式日志框架,涵盖了6大功能亮点:日志分级管理、异步处理与并发安全性、详尽上下文信息记录、滚动日志归档策略、高效资源利用和便捷API接口。设计上,通过日志过滤器、共享环形缓冲区和独立的日志管理进程实现日志管理。在并发环境下,使用信号量保证线程安全。日志文件按大小滚动并有序归档,同时考虑了资源效率。对外提供简洁的API接口,便于开发人员使用。文章还简述了实现细节,包括实时存储、日志滚动和共享内存管理。测试部分验证了日志回滚和实时打印功能的正确性。

149 4
来自: 行业解决方案  版块
|
3月前
|
数据采集 存储 NoSQL
|

Java爬虫-爬取疫苗批次信息

为了解决疫苗批号查询难题,作者因个人情况需查询脊髓灰质炎灭活疫苗信息,发现官网查询系统不便使用。于是,技术大展身手,编写了一个Java爬虫,利用Hutool、Jsoup和Spring Boot的MongoDB库,抓取并存储了中国食品药品检定研究院等多家机构近十年的疫苗批次信息。代码示例展示了如何爬取数据并存入MongoDB,方便按需查询。

70 0
|
4月前
|
人工智能 安全 网络安全
|

python运营商身份证二要素查验接口、身份证实名认证接口

翔云身份证二要素实名认证接口,实时联网,通过网民用户提供身份证号+姓名的核验方式确保交易与服务过程中的用户身份真实性是保障网络安全、防范欺诈行为的关键步骤。

89 1

阿里云web应用

设备端将图片编码为base64发送至物联网平台,在web界面配置图片选择物联网平台配置的数据(base64),实现设备向云平台的图片的上传,以及在web界面上显示图片。

99 2
|
4月前
|
文字识别 安全 算法
|

一键将PDF转换为AutoCAD格式

在线云库工具,能一键将PDF高效转换为AutoCAD(DWG)格式,支持OCR识别扫描版PDF,保证转换精度。工具匿名、安全,且免费无文件大小限制。适用于建筑、工程设计、图纸管理和教育场景,提升工作效率。

100 0
|
4月前
|
存储 编解码 算法
|

在线音频转换工具 - 免费

云库工具是一款强大的音频格式转换器,支持AAC、AC3、MP3、FLAC等多种格式,具备快速高效、简便易用、高质量输出和批量转换的技术优势。适用于多设备兼容、存储优化和专业音频处理场景。无论新手或专业人士,都能轻松满足音频格式转换需求。尝试云库工具,体验高效便捷的转换服务。

209 0
|
4月前
|
存储 XML 人工智能
|

在线转换多种矢量和文档格式 - 免费

本文介绍了款强大的在线云库工具,支持多种矢量图形、设计文件、办公文档和字体格式的转换,如AI、CDR、SVG等。该工具具备高效转换、简便操作、高质量输出、跨平台兼容和批量处理等技术优势,适用于设计、工程、办公和网页设计等领域,提升工作效率。无论是在跨平台协作还是处理大量文件时,都是理想的选择。

125 0
|
4月前
|
Web App开发 移动开发 小程序
|

看我如何让手机秒变扫码枪

为解决无扫码枪问题,作者受到微信小程序“超级扫码枪”启发,决定自制手机扫码到电脑的应用。项目需求是手机扫描条形码或二维码后实时传送到电脑。实现步骤包括:电脑端用Java Swing和Robot模拟键盘输入,手机端H5调用摄像头扫码(借助html5-qrcode库),并通过WebSocket服务将结果发送至电脑。项目源码及演示视频链接提供。

546 5

物联网

物联网领域前沿技术分享与交流

0
今日
8229
内容
41
活动
7308
关注
你好!
登录掌握更多精彩内容

相关产品

  • 物联网平台
  • 自动驾驶云开发平台
  • IoT设备身份认证