常见的网路设备和网络参考模型,以及常见的网络层协议及数据通信过程

简介: 通过介质(例如,网线、光纤、无线WIFI)将网络设备和终端连接起来。操作系统、应用软件、应用程序相互通讯。实现资源共享、信息传递。Internet组成Internet由多个ISP(运营商)组成,例如移动、电信、联通等众多运营商组成。...

网络概述:
硬件方面:通过介质(例如,网线、光纤、无线WIFI)将网络设备和终端连接起来。

软件方面:操作系统、应用软件、应用程序相互通讯。

网络作用:实现资源共享、信息传递。

计算机网络的定义:

image.png

 网络的组成
image.png

 网络术语:
网络的演进:
image.png

 

 Internet组成:

Internet由多个ISP(运营商)组成,例如移动、电信、联通等众多运营商组成。

 网络类型:
image.png

 协议:protocol
   网络通信中的“语言”

        汉语和英语当做协议

        聊天当做通信

        说话内容当做数据

决定数据的格式和传输的一组规则

语言不通,无法沟通、语言一致,通信自如。

协议一致,通信自如。

所以想要实现通信,网络设备要用统一的协议例如TCP/IP协议。

世界上的人们才能使用网络设备自由通信,通信自如。

 image.png

 网络的性能指标:
带宽(bandwidth):
带宽没有快慢,只有使用时每bps(比特每秒)传输的数据量。10M比特每秒100M比特每秒。

1、描述在单位时间内从一个节点传送到另一个节点的数据量

2、类似水管道粗细,道路宽度程度

3、以bps(bit per second,比特每秒)为单位

4、如:以太网带宽为10Mbps,快速以太网为100Mbps

延迟(delay):
1、描述数据从一个节点传送到另一个节点所经历的时间

2、通常以ms为单位  

网络拓扑(topology):
用来描绘网络结构(网络类型、设备类型、设备数量、线缆、带宽、服务、数据走向等)的示意图。

 

 

 

 拓扑类型:
根据接口、线缆、封装判断

 

 星形线路是典型的局域网架构,缺点是交换机挂了,其余全挂了,优点是,一台pc机坏了,其余的不影响。 

TCP/IP模型
image.png

应用层
HTTP:超文本传输协议,提供浏览网页服务

Telnet:远程登陆协议,提供远程管理服务
FTP:文件传输协议,提供互联网文件资源共享服务

SMTP:简单邮件传输协议,提供互联网电子邮件服务

TFTP:简单文件传输协议,提供简单的文件传输服务

传输层:
TCP:为应用程序提供可靠的面向连接到通信服务

UDP:提供了无连接通行,且不对传送数据包进行可靠保证

网络层:
IP:将传输层的数据封装成数据包并完成源站点到目的站点的转发提供无连接的,不可靠的服务

IGMP:负责IP组播成员管理的协议。它用来在IP主机和与其直接相邻的组播路由器之间建立、维护组播组成员关系。
ICMP:基于IP协议在网络中发送控制消息,提供可能发生在通信环境中的各种问题反馈。通过这些信息,使管理者可以对所发生的问题作出诊断,然后采取适当的措施解

数据链路层
Ethernet:一种多路访问广播型数据链路层协议,是当前应用最为广泛的局域网技术。
PPP:一种点对点模式的数据链路层协议,多用于广域网。
PPPoE (Point-to-Point Protocol over Ethernet,以太网承载PPP协议):常见的应用有家庭宽带拨号上网。

TCP 三次握手
image.png

 数据的封装过程
image.png

数据解封装过程:
image.png

相关文章
|
13天前
|
机器学习/深度学习 PyTorch 算法框架/工具
【从零开始学习深度学习】28.卷积神经网络之NiN模型介绍及其Pytorch实现【含完整代码】
【从零开始学习深度学习】28.卷积神经网络之NiN模型介绍及其Pytorch实现【含完整代码】
|
5天前
|
机器学习/深度学习 网络协议 网络性能优化
[计算机网络]深度学习传输层TCP协议
[计算机网络]深度学习传输层TCP协议
20 1
|
7天前
|
机器学习/深度学习 搜索推荐 算法
基于深度学习神经网络协同过滤模型(NCF)的图书推荐系统
登录注册 热门图书 图书分类 图书推荐 借阅图书 购物图书 个人中心 可视化大屏 后台管理
12789 0
基于深度学习神经网络协同过滤模型(NCF)的图书推荐系统
|
9天前
|
监控 网络协议 安全
Verilog代码在上网行为管理软件中的网络设备控制与协议分析
**Verilog摘要:** Verilog是硬件描述语言,用于数字电路设计和网络设备控制。它在上网行为管理软件中用于控制路由器、交换机等,例如通过简单模块控制端口状态。此外,Verilog还支持协议分析,如解析以太网帧提取MAC地址。监控数据可结合Verilog实现自动化提交,例如通过HTTP发送请求到服务器,实现实时监控和响应。这些示例展示了Verilog在网络安全和性能优化中的应用潜力。
48 1
|
13天前
|
机器学习/深度学习 PyTorch 算法框架/工具
【从零开始学习深度学习】27.卷积神经网络之VGG11模型介绍及其Pytorch实现【含完整代码】
【从零开始学习深度学习】27.卷积神经网络之VGG11模型介绍及其Pytorch实现【含完整代码】
YOLOv8打印模型结构配置信息并查看网络模型详细参数:参数量、计算量(GFLOPS)
YOLOv8打印模型结构配置信息并查看网络模型详细参数:参数量、计算量(GFLOPS)
|
13天前
|
机器学习/深度学习 PyTorch 算法框架/工具
【从零开始学习深度学习】29.卷积神经网络之GoogLeNet模型介绍及用Pytorch实现GoogLeNet模型【含完整代码】
【从零开始学习深度学习】29.卷积神经网络之GoogLeNet模型介绍及用Pytorch实现GoogLeNet模型【含完整代码】
|
5天前
用UDP协议实现发送接收的网络聊天室
用UDP协议实现发送接收的网络聊天室
9 0
|
5天前
|
机器学习/深度学习 安全 数据安全/隐私保护
【计算机网络】深度学习HTTPS协议
【计算机网络】深度学习HTTPS协议
16 0
|
5天前
|
机器学习/深度学习 前端开发 JavaScript
【计算机网络】深度学习使用应用层的HTTP协议
【计算机网络】深度学习使用应用层的HTTP协议
29 0

热门文章

最新文章