【软件工具】网络性能测试工具 Iperf

本文涉及的产品
性能测试 PTS,5000VUM额度
简介: 【软件工具】网络性能测试工具 Iperf

Iperf 是一款专业的开源网络性能测试工具,它被广泛用于测量网络带宽、延迟、抖动和数据包丢失等网络性能指标,支持 TCP 和 UDP 等,可用于点对点或客户端-服务器等模式的网络测试。


软件获取

官方下载地址:https://iperf.fr/iperf-download.php,以 Windows 平台为例,点击 Download iPerf for Windows:


  • 选择下载 64 位最新版:

  • 将软件解压缩到非中文路径下:


  • 打开 CMD 或者 PowerShell,并切换到当前目录,执行 iperf3 --version 指令,能够输出版本号信息即可正常使用:

本地测试

  • 输入 iperf3 -s 指令,在本地创建一个服务端,默认端口号为 5201:

再打开一个 CMD 或 PowerShell,执行 iperf3 -c 127.0.0.1指令,即可开始本地测试:


测试不限带宽下的吞吐量、抖动和丢包率,设置使用 UDP 协议,并拿到服务端的测试报告,执行指令 iperf3 -c 127.0.0.1 -u -b 0 --get-server-output:


其中,Interval 代表测试时长,Transfer 代表在 Interval 时长里,传输的数据量,Bandwidth 表示传输带宽,Jitter 表示网络抖动,Lost/Total Datagrams 表示丢失的数据包与总数据包


常用指令参数

输入 iperf3 -h 指令查看支持的指令:

  • 一些常用的指令参数:
参数 释义
-p 指定要监听的端口或要连接的端口
-f 指定带宽输出格式,Kbits, Mbits, KBytes, MBytes
-i 指定每次报告之间的时间间隔,单位为秒
-V 设置输出详细的报告
-J 以 JSON 格式输出
-s 运行在服务器模式
-c 运行在客户端模式
-u 设置采用 UDP 协议,默认采用 TCP 协议
-t 指定数据传输的总时间,默认 10 秒
-l 设置读写缓冲区的长度
-b 设置目标带宽,0 为不限制
-R 反转模式(服务端发送,客户端接收)
–get-server-output 获取服务端的测试报告
–logfile filename 将 log 输出到文件

更多内容

  • CSDN博客:@Hello阿尔法
  • 哔哩哔哩:@Hello阿尔法
  • 知乎:@Hello阿尔法
相关实践学习
通过性能测试PTS对云服务器ECS进行规格选择与性能压测
本文为您介绍如何利用性能测试PTS对云服务器ECS进行规格选择与性能压测。
相关文章
|
1月前
|
监控 安全 数据可视化
如何使用这些上网行为管理软件一键管控员工网络
使用WorkWin、Hubstaff和Veriato等上网行为管理软件,企业可以有效监控和提升员工工作效率。这些工具提供实时员工监控、时间统计、移动部署、权限控制、远程管理及安全监控等功能,确保工作安全,优化时间分配,防止数据泄露,并通过任务追踪促进项目进展。通过生成报告和分析,企业能识别生产力瓶颈和安全风险,从而制定改进策略。
95 3
|
26天前
|
SDN 数据中心 网络架构
【计算巢】软件定义网络(SDN):网络管理的未来趋势
【5月更文挑战第31天】SDN(Software Defined Networking)是新兴的网络架构模式,通过分离控制平面和数据平面实现集中化管理,提高网络可见性和可控性。它以软件定义为核心,打破传统硬件限制,促进网络创新。SDN 提供快速部署、降低成本并增强灵活性。示例代码展示了使用 Python 实现的简单 SDN 控制器。随着技术发展,SDN 在数据中心和电信网络等领域广泛应用,引领网络技术的未来。
|
6天前
|
消息中间件 安全 Shell
国货之光——jdchain1.6.5测试网络部署
国货之光——jdchain1.6.5测试网络部署
30 13
|
25天前
|
运维 安全 网络架构
【计算巢】网络模拟工具:设计与测试网络架构的有效方法
【6月更文挑战第1天】成为网络世界的超级英雄,利用网络模拟工具解决复杂架构难题!此工具提供安全的虚拟环境,允许自由设计和测试网络拓扑,进行性能挑战和压力测试。简单示例代码展示了创建网络拓扑的便捷性,它是网络设计和故障排查的“魔法棒”。无论新手还是专家,都能借助它探索网络的无限可能,开启精彩冒险!快行动起来,你会发现网络世界前所未有的乐趣!
【计算巢】网络模拟工具:设计与测试网络架构的有效方法
|
9天前
|
监控 网络协议 安全
Verilog代码在上网行为管理软件中的网络设备控制与协议分析
**Verilog摘要:** Verilog是硬件描述语言,用于数字电路设计和网络设备控制。它在上网行为管理软件中用于控制路由器、交换机等,例如通过简单模块控制端口状态。此外,Verilog还支持协议分析,如解析以太网帧提取MAC地址。监控数据可结合Verilog实现自动化提交,例如通过HTTP发送请求到服务器,实现实时监控和响应。这些示例展示了Verilog在网络安全和性能优化中的应用潜力。
48 1
|
27天前
|
人工智能 自然语言处理 安全
构建未来:AI驱动的自适应网络安全防御系统提升软件测试效率:自动化与持续集成的实践之路
【5月更文挑战第30天】 在数字化时代,网络安全已成为维护信息完整性、保障用户隐私和企业持续运营的关键。传统的安全防御手段,如防火墙和入侵检测系统,面对日益复杂的网络攻击已显得力不从心。本文提出了一种基于人工智能(AI)技术的自适应网络安全防御系统,该系统能够实时分析网络流量,自动识别潜在威胁,并动态调整防御策略以应对未知攻击。通过深度学习算法和自然语言处理技术的结合,系统不仅能够提高检测速度和准确性,还能自主学习和适应新型攻击模式,从而显著提升网络安全防御的效率和智能化水平。 【5月更文挑战第30天】 在快速迭代的软件开发周期中,传统的手动测试方法已不再适应现代高效交付的要求。本文探讨了如
|
30天前
|
机器学习/深度学习 敏捷开发 测试技术
深入探索软件测试中的持续集成与持续部署(CI/CD)实践利用机器学习提升网络安全防御效能
【5月更文挑战第27天】 在现代软件开发的快节奏环境中,持续集成(Continuous Integration, CI)和持续部署(Continuous Deployment, CD)已成为确保产品质量和加快交付速度的关键策略。本文将深入探讨CI/CD在软件测试中的应用,分析其对提高自动化测试效率、缩短反馈周期以及优化发布流程的重要性。通过实际案例研究,我们揭示了成功实施CI/CD的最佳实践,并讨论了面临的挑战及其解决方案。
|
1月前
|
监控 算法
使用Lua实现上网行为管理软件的网络速度限制器
本文介绍了如何使用Lua编程语言实现简单的网络速度限制器,适用于公共场所和企业网络。通过Lua代码示例展示了设置网络速度限制、监控网络流量以及自动将监控数据提交到网站的功能。该方法有助于管理员有效管理网络资源,提高效率。实际应用中可进一步扩展和优化以适应不同环境和需求。
131 6
|
22天前
|
监控 安全 搜索推荐
用员工上网行为监控实现员工网络管理,这些软件超给力
【摘要】面对员工工作时间的不专注,网络管理软件成为解决方案。WorkWin提供远程监控,实时保障工作安全与效率;RescueTime关注员工生产力,自动跟踪时间使用;InterGuard则在监控中保护员工隐私,确保数据安全。这些工具助力企业提升效率,优化管理,同时确保合规运营。
64 0
|
30天前
|
安全 数据管理 测试技术
网络安全与信息安全:防范漏洞、加强加密与提升安全意识深入探索自动化测试框架的设计原则与实践应用化测试解决方案。文章不仅涵盖了框架选择的标准,还详细阐述了如何根据项目需求定制测试流程,以及如何利用持续集成工具实现测试的自动触发和结果反馈。最后,文中还将讨论测试数据管理、测试用例优化及团队协作等关键问题,为读者提供全面的自动化测试框架设计与实施指南。
【5月更文挑战第27天】 在数字化时代,网络安全与信息安全已成为维护国家安全、企业利益和个人隐私的重要环节。本文旨在分享关于网络安全漏洞的识别与防范、加密技术的应用以及提升安全意识的重要性。通过对这些方面的深入探讨,我们希望能为读者提供一些实用的建议和策略,以应对日益严峻的网络安全挑战。 【5月更文挑战第27天】 在软件开发周期中,自动化测试作为保障软件质量的关键步骤,其重要性日益凸显。本文旨在剖析自动化测试框架设计的核心原则,并结合具体案例探讨其在实际应用中的执行策略。通过对比分析不同测试框架的优缺点,我们提出一套高效、可扩展且易于维护的自动