计算机网络实验笔记(一)网线端接与网络设备入门配置

简介: 本文讲述网线端接与网络设备入门配置的相关事项。
本文首发于稀土掘金。该平台的作者 逐光而行 也是本人。

现在越来越感受到:计算机网络是一个很宽泛的概念,“学过”更是一个很抽象的概念。不同学校对同一门课程的要求是不一样的。————写在最前面

如何使用双绞线制作直连线和交叉线?

概念:

  • 电脑与路由器之间的连接、路由器与光猫之间的连接用的是直通线;
  • 两台电脑之间的连接用的是交叉线。
  • 直连线和交叉线的不同:使用的接线标准不同。直连线两端均采用568B,交叉线一端使用T568B,一端使用T568A。
  • T568B标准:白橙、橙、白绿、蓝、白蓝、绿、白棕、棕
  • T568A标准:白绿、绿、白橙、蓝、白蓝、、白棕、棕

步骤

  • 用钳子工具在网线一端四厘米处将表层脱离,暴露出里面的八根细线。
  • 按各自标准准整理好细线
  • 剪下三厘米
  • 套上水晶头,用工具弄紧

一些关于路由器和交换机的知识:(应用版)

注:图截取自知乎文章

image.png

整理一下我做到的题目(概念版)

-   1、

    关于交换机,以下不正确的叙述是【】

    [A、]()

    [同路由器一样,交换机内部有一个操作系统]()

    [B、]()

    [同路由器一样,交换机可看作一台特殊的计算机,但无显示器和键盘。]()

    [C、]()

    [可配置的交换机,为用户提供了命令行操作接口。]()

    [D、]()

    [交换机基于命令行接口的操作模式,有多种,它们彼此之间不存在层次关系。]()

-   2、

    以下哪种情况不属于网络设备命令行操作技巧【】

    [A、]()

    [命令补全]()

    [B、]()

    [非关键词参数简写]()

    [C、]()

    [命令简写]()

    [D、]()

    [在某个命令后面的某个参数位置上输入?键]()

-   3、

    使用show命令查看网络接口GigabitEthernet0/1的工作状态,以下不正确的操作是【】 提示:GigabitEthernet代表接口类型,属于关键词;0/1代表接口编号

    [A、]()

    [sh g0/1]()

    [B、]()

    [sh gi 0/1]()

    [C、]()

    [sh ge0/1]()

    [D、]()

    [sh gi0/1]()

-   4、

    锐捷交换机内网络操作系统的名称是【】

    [A、]()

    [VRP]()

    [B、]()

    [RGOS]()

    [C、]()

    [IOS]()

    [D、]()

    [ROS]()

经本人验证,上述题目的答案依次为DBCB。

相关文章
|
11天前
|
网络协议 安全 Java
Java网络编程入门涉及TCP/IP协议理解与Socket通信。
【6月更文挑战第21天】Java网络编程入门涉及TCP/IP协议理解与Socket通信。TCP/IP协议包括应用层、传输层、网络层和数据链路层。使用Java的`ServerSocket`和`Socket`类,服务器监听端口,接受客户端连接,而客户端连接指定服务器并交换数据。基础示例展示如何创建服务器和发送消息。进阶可涉及多线程、NIO和安全传输。学习这些基础知识能助你构建网络应用。
19 1
|
6天前
|
网络协议 Apache 网络架构
计算机网络学习记录 网络的大概认识 Day1(下)
计算机网络学习记录 网络的大概认识 Day1(下)
6 2
|
6天前
|
机器学习/深度学习 人工智能 自然语言处理
机器学习算法入门:从K-means到神经网络
【6月更文挑战第26天】机器学习入门:从K-means到神经网络。文章涵盖了K-means聚类、逻辑回归、决策树和神经网络的基础原理及应用场景。K-means用于数据分组,逻辑回归适用于二分类,决策树通过特征划分做决策,神经网络则在复杂任务如图像和语言处理中大显身手。是初学者的算法导览。
|
6天前
|
存储 数据处理 网络架构
计算机网络学习记录 网络的大概认识 Day1(上)
计算机网络学习记录 网络的大概认识 Day1(上)
7 1
|
15天前
|
监控 网络协议 安全
Verilog代码在上网行为管理软件中的网络设备控制与协议分析
**Verilog摘要:** Verilog是硬件描述语言,用于数字电路设计和网络设备控制。它在上网行为管理软件中用于控制路由器、交换机等,例如通过简单模块控制端口状态。此外,Verilog还支持协议分析,如解析以太网帧提取MAC地址。监控数据可结合Verilog实现自动化提交,例如通过HTTP发送请求到服务器,实现实时监控和响应。这些示例展示了Verilog在网络安全和性能优化中的应用潜力。
70 1
|
1天前
|
机器学习/深度学习 人工智能
神经网络极简入门
神经网络是深度学习的基础,正是深度学习的兴起,让停滞不前的人工智能再一次的取得飞速的发展。
8 0
|
4天前
|
存储 Linux
深入了解Linux设备管理:字符、块和网络设备文件
深入了解Linux设备管理:字符、块和网络设备文件
15 0
|
5天前
|
并行计算 PyTorch 程序员
老程序员分享:Pytorch入门之Siamese网络
老程序员分享:Pytorch入门之Siamese网络
|
5天前
|
Web App开发 自然语言处理 算法
一文搞懂:【论文笔记】BINE:二分网络嵌入
一文搞懂:【论文笔记】BINE:二分网络嵌入
|
5天前
|
存储 缓存 网络协议
技术笔记:socket网络实现
技术笔记:socket网络实现