带你读《思科软件定义访问 : 实现基于业务意图的园区网络》第三章软件定义访问运作方法3.2

简介: 带你读《思科软件定义访问 : 实现基于业务意图的园区网络》第三章软件定义访问运作方法3.2

3.1   数据转发平面

 

软件定义访问通过使用虚拟可扩展局域网VXLAN技术构建叠加网络的数据平面。VXLAN封装和传输完整的二层数据帧并横跨底层网络,每个虚拟网络由 VXLAN网络标识符(VNI)进行标识。VXLAN封装还使用 SGT字段对网络进行微分段。

RFC7348定义了使用虚拟可扩展局域网(VXLAN)作为在三层网络之上叠加二层网络的一种方法(见图3-4。使用VXLAN,可以使用UDP/IP在三层网络上对原始二层数据帧进行标记并进行隧道传输。每个叠加网络都称为VXLAN网段,并使用 24VXLAN网络标识符进行标识,最多支持 1600万个 VXLAN网段。

image.png

 

3-4RFC7348VXLAN

软件定义访问网络交换矩阵使用 VXLAN数据平面传输完整的原始二层数据帧。另外使用位置 /  标识分离协议作为控制平面来解析终端到其所在位置的映射关系。软件定义访问网络交换矩阵采用VXLAN报头中的 16个保留位,以便传输多达 64000个可扩展组标签,详细信息请参考 VXLAN-GPO规范。

VNI实现了三层叠加网络到虚拟路由和转发实例的映射。而二层 VNI实现了 VLAN广播域的映射,二者均可为每个虚拟网络提供隔离数据和控制平面。可扩展组标签承载用户的组成员身份信息,并在虚拟网络内部提供数据平面微分段。

VXLAN     需要一个底层的传输网络(底层网络)承载,在连接到网络交换矩阵的终端之间提供通信,使用底层网络数据平面转发。图 3-5展示了 VXLAN封装网络中的数据转发平面。

软件定义访问网络交换矩阵不会更改二层或三层转发的语义,并且允许网络交换矩阵的边缘节点执行叠加路由或桥接功能。因此,边缘节点提供了一组不同的网关功能,如下。

 

image.png

 

3-5 网络交换矩阵数据转发平面操作

 

(1)  二层虚拟网络接口(L2VNI。在这种模式下,来自 L2VNI的数据帧将被桥接到另一个 L2接口。桥接将在桥接域的情境中完成。L2网关的实现将使用 VLAN作为桥接域,并将 L2VNI作为 VLAN的成员端口。边缘节点将在 VLAN中的 L2VNI和目标 L2端口之间桥接通信。

(2)  三层虚拟网络接口(L3VNI:在此模式下,来自 L3VNI的数据帧将被路由到另一个 L3接口。路由将在路由实例的情境中完成。L3网关的实现将使用VRF作为路由实例,并将 L3VNI作为 VRF的成员端口。边缘节点将在 VRF中的L3VNI和目标 L3接口之间路由通信。

为了提供客户端移动性和子网的拉伸,软件定义访问利用了分布式任播默认网关

如图 3-6所示。这将在网络交换矩阵中的每个边缘节点上配置三层接口默认网关例如,如果在网络交换矩阵中定义了 10.10.10.0/24子网,并且为该子网定义的默认网关是10.10.10.1,则该虚拟 IP地址(具有相应的虚拟 MAC地址)将在每个边缘节点上进行相同的编排。

 

 

 image.png


3-6 分布式任播默认网关

这大大简化了终端部署,便于其在网络交换矩阵基础架构中漫游,因为默认网关在任何网络交换矩阵的边缘节点上都是相同的。这也优化了通信转发,因为从终端到其他目标子网的通信总是在第一跃点进行三层转发。而对于一些传统的(非网络交换矩阵)拉伸子网解决方案,需要将流量在远程位置进行三层转发。

此外,底层网络可用于向叠加网络中的二层广播域内的终端传送多目标通信。这包括网络交换矩阵中的广播和多播通信。软件定义访问网络交换矩阵中的广播通信被映射到底层网络的多播组并发送到该广播域中的所有边缘节点。下面将详细介绍这一点。

相关文章
|
6天前
|
安全 网络协议 网络安全
端口转发:解锁网络访问的新维度
端口转发技术,简化网络数据流,用于家庭至企业服务器场景。它隐藏内部网络服务,提供远程访问、个人网站公开、NAT穿透及安全的VPN连接。设置涉及路由器管理界面,添加转发规则,但需注意安全风险,仅开放必要端口并加强内部安全措施。了解和善用端口转发,提升网络服务可达性与安全性。
93 5
|
6天前
|
安全 Java 网络安全
【认知革命】JAVA网络编程新视角:重新定义URL与URLConnection,让网络资源触手可及!
【6月更文挑战第22天】JAVA网络编程中,URL代表统一资源定位符,用于表示网络资源地址。通过`new URL("address")`创建URL对象,可解析和访问其组件。URLConnection是与URL建立连接的接口,用于定制HTTP请求,如设置GET/POST、超时及交换数据。
|
11天前
|
监控 网络协议 安全
Verilog代码在上网行为管理软件中的网络设备控制与协议分析
**Verilog摘要:** Verilog是硬件描述语言,用于数字电路设计和网络设备控制。它在上网行为管理软件中用于控制路由器、交换机等,例如通过简单模块控制端口状态。此外,Verilog还支持协议分析,如解析以太网帧提取MAC地址。监控数据可结合Verilog实现自动化提交,例如通过HTTP发送请求到服务器,实现实时监控和响应。这些示例展示了Verilog在网络安全和性能优化中的应用潜力。
58 1
|
16天前
|
数据可视化 算法 JavaScript
使用Python进行网络数据可视化的多种方法与技巧
在当今信息爆炸的时代,网络数据量呈指数级增长,了解和分析这些数据对于许多领域的决策制定至关重要。可视化是理解和解释大量数据的强大工具之一,而Python作为一种流行的编程语言,提供了丰富的库和工具来进行网络数据可视化。本文将介绍一些使用Python进行网络数据可视化的方法与技巧,并提供相应的代码实例。
|
24天前
|
机器学习/深度学习 安全 网络安全
【计算巢】数字取证:追踪和分析网络犯罪的方法
【6月更文挑战第4天】本文探讨了数字取证在网络安全中的关键作用,通过Python编程展示如何分析网络日志以发现线索。数字取证利用科学方法收集、分析电子数据,以应对黑客入侵、数据泄露等网络犯罪。文中提供的Python代码示例演示了从服务器日志中提取IP地址并统计访问次数,以识别异常行为。此外,实际的数字取证还包括数据恢复、恶意软件分析等复杂技术,并需遵循法律程序和伦理标准。随着技术发展,数字取证将更有效地保障网络空间的和平与秩序。
|
1天前
|
文字识别 开发工具 Android开发
视觉智能开放平台操作报错合集之使用人脸属性检测接口,出现报错:图片无法下载,请检查链接是否可访问和本地网络情况,该如何解决
在使用视觉智能开放平台时,可能会遇到各种错误和问题。虽然具体的错误代码和消息会因平台而异,但以下是一些常见错误类型及其可能的原因和解决策略的概述,包括但不限于:1. 认证错误、2. 请求参数错误、3. 资源超限、4. 图像质量问题、5. 服务不可用、6. 模型不支持的场景、7. 网络连接问题,这有助于快速定位和解决问题。
|
24天前
|
SQL 安全 数据库连接
sql如何访问网络数据库
访问网络数据库(通常指的是不在本地计算机上而是在网络上的数据库服务器)的SQL操作,其实与访问本地数据库在SQL语句的编写上并没有太大差异。主要的区别在于连接的设置和配置,以及如何确保网络连接的安全性
|
7天前
|
机器学习/深度学习 算法 搜索推荐
神经网络中的优化方法
摘要: 这篇内容介绍了梯度下降优化算法在机器学习中的挑战,如平缓区域、鞍点和局部最小值,以及如何通过改进策略来克服这些问题。文章提到了几种优化方法,包括Momentum、AdaGrad、RMSprop和Adam。Momentum通过累积历史梯度信息来调整参数更新,帮助模型在训练过程中更快地收敛。AdaGrad和RMSprop解决了AdaGrad学习率过早衰减的问题,RMSprop结合了Momentum和AdaGrad的优势,通过一阶矩估计和二阶矩估计动态调整学习率,尤其适用于大规模数据集和复杂模型。Adam是RMSprop的一个变种,是深度学习中最常用的优化器之一。
|
22天前
计算机网络——物理层-编码与调制(数字基带信号、模拟基带信号、码元、常用编码、基本调制方法、混合调制)二
计算机网络——物理层-编码与调制(数字基带信号、模拟基带信号、码元、常用编码、基本调制方法、混合调制)二
20 0
|
22天前
|
内存技术
计算机网络——物理层-编码与调制(数字基带信号、模拟基带信号、码元、常用编码、基本调制方法、混合调制)一
计算机网络——物理层-编码与调制(数字基带信号、模拟基带信号、码元、常用编码、基本调制方法、混合调制)一
27 0

热门文章

最新文章