FPGA设计——VGA显示

简介:

1. VGA概述

VGA(Video Graphics Array)是IBM在1987年推出的一种视频传输,具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛的应用。不支持热插拔,不支持音频传输。


2. VGA时序

下面以640*480@60Hz为例说明VGA时序和FPGA设计,其他分辨率和帧率的可参考VESA中查找。

wKioL1e66YyRSGBOAACyyiQ3xKY412.jpg

计算像素时钟pclk = 800*525*60 = 25200000,注意这里的HSYNC和VSYNC都是低电平有效,且HSYNC在数据行无效区域也要提供。


3. VGA电路图

电路以ADV7123为例:

wKiom1e6672B_aWKAACkBv0baRk499.jpg


4. FPGA逻辑代码

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
//------------Video Test---------------
 
parameter IMG_HDISP   =16'd640;
parameter IMG_VDISP = 16'd480;
 
wire pclk;
assign pclk = clk_25m;
 
//VIDEO input
reg [15:0] vcnt;
reg [11:0] hcnt;
reg vsync;
reg hsync;
reg [7:0] data;
reg data_valid;
 
always @(posedge pclk)
    if (hcnt>=(IMG_HDISP+159))
       hcnt <= 0;
    else
       hcnt <= hcnt + 1'b1;
    
always @(posedge pclk)
    if (hcnt>=(IMG_HDISP+159))
       if (vcnt>=(IMG_VDISP+44))
          vcnt <= 0;
       else
          vcnt <= vcnt + 1'b1;
    else
       vcnt <= vcnt;
 
always @(posedge pclk)
    if ((hcnt>=IMG_HDISP+16) & (hcnt<(IMG_HDISP+96))) // & (vcnt>=0) & (vcnt<(IMG_VDISP)))
       hsync <= 1'b1;
    else
       hsync <= 1'b0;      
 
always @(posedge pclk)
    if (vcnt>=(IMG_VDISP+9) & vcnt<(IMG_VDISP+11))
       vsync <= 1'b1;
    else
       vsync <= 1'b0;
 
always @(posedge pclk)
    if ((hcnt>=0) & (hcnt<(IMG_HDISP)) & (vcnt>=0) & (vcnt<(IMG_VDISP)))
       data_valid <= 1'b1;
    else
       data_valid <= 1'b0;      
       
always @(posedge pclk)
    if (vsync)
       data <= 0;
    else  if ((hcnt>=0) & (hcnt<(IMG_HDISP)) & (vcnt>=0) & (vcnt<(IMG_VDISP/4)))
//      data <= data + 1'b1;
       if (hcnt>=0 & hcnt<(IMG_HDISP/4))
          data <= 8'h00;
       else  if (hcnt>=(IMG_HDISP/4) & hcnt<(2*IMG_HDISP/4))
          data <= 8'hff;
       else  if (hcnt>=(2*IMG_HDISP/4) & hcnt<(3*IMG_HDISP/4))
          data <= 8'h00;
       else
          data <= 8'hff;   
    else  if ((hcnt>=0) & (hcnt<(IMG_HDISP)) & (vcnt>=(IMG_VDISP/4)) & (vcnt<(2*IMG_VDISP/4)))
       if (hcnt>=0 & hcnt<(IMG_HDISP/4))
          data <= 8'hff;
       else  if (hcnt>=(IMG_HDISP/4) & hcnt<(2*IMG_HDISP/4))
          data <= 8'h00;
       else  if (hcnt>=(2*IMG_HDISP/4) & hcnt<(3*IMG_HDISP/4))
          data <= 8'hff;
       else
          data <= 8'h00;      
//      data <= data + 1'b1;
    else  if ((hcnt>=0) & (hcnt<(IMG_HDISP)) & (vcnt>=(2*IMG_VDISP/4)) & (vcnt<(3*IMG_VDISP/4)))
       if (hcnt>=0 & hcnt<(IMG_HDISP/4))
          data <= 8'h00;
       else  if (hcnt>=(IMG_HDISP/4) & hcnt<(2*IMG_HDISP/4))
          data <= 8'hff;
       else  if (hcnt>=(2*IMG_HDISP/4) & hcnt<(3*IMG_HDISP/4))
          data <= 8'h00;
       else
          data <= 8'hff;   
//      data <= data + 1'b1;
    else  if ((hcnt>=0) & (hcnt<(IMG_HDISP)) & (vcnt>=(3*IMG_VDISP/4)) & (vcnt<(IMG_VDISP)))
//      data <= data + 1'b1;
       if (hcnt>=0 & hcnt<(IMG_HDISP/4))
          data <= 8'hff;
       else  if (hcnt>=(IMG_HDISP/4) & hcnt<(2*IMG_HDISP/4))
          data <= 8'h00;
       else  if (hcnt>=(2*IMG_HDISP/4) & hcnt<(3*IMG_HDISP/4))
          data <= 8'hff;
       else
          data <= 8'h00;   
    else
       data <= 0;
       
assign lcd_vs = ~vsync;
assign lcd_hs = ~hsync;
assign vga_red    = data;
assign vga_green  = data;
assign vga_blue   = data;
 
assign lcd_dclk = clk_25m;
assign lcd_blank = 1'b1;
assign lcd_sync  = 1'b0;
 
wire [7:0] vga_red;
wire [7:0] vga_green;
wire [7:0] vga_blue;
 
assign lcd_red[9:2] = vga_red;
assign lcd_green[9:2] = vga_green;
assign lcd_blue[9:2] = vga_blue;


5.演示结果

下图为方格显示效果:

wKioL1e67OLjjfg3AADxK1Q5LB4233.jpg


本文转自 shugenyin 51CTO博客,原文链接:http://blog.51cto.com/shugenyin/1841223


相关文章
|
异构计算
|
编解码 .NET C语言
【黑金原创教程】【FPGA那些事儿-驱动篇I 】实验二十六:VGA模块
实验二十六:VGA模块 VGA这家伙也算孽缘之一,从《建模篇》那时候开始便一路缠着笔者。《建模篇》之际,学习主要针对像素,帧,颜色等VGA的简单概念。《时序篇》之际,笔者便开始摸索VGA的时序。《整合篇》之际,笔者尝试控制VGA的时序。
1101 0
|
6天前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
43 7
|
6天前
|
算法 异构计算
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
|
6天前
|
算法 异构计算
m基于FPGA的电子钟verilog实现,可设置闹钟,包含testbench测试文件
该文介绍了基于FPGA的电子钟设计,利用Vivado2019.2平台进行开发并展示测试结果。电子钟设计采用Verilog硬件描述语言,核心包括振荡器、分频器和计数器。时间显示为2个十进制格式,闹钟功能通过存储器和比较器实现,当当前时间等于设定时间时触发。文中给出了Verilog核心程序示例,展示了时钟信号、设置信号及输出的交互。
50 2
|
6天前
|
算法 5G 数据处理
m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench
m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench
60 0
|
6天前
|
算法 异构计算 索引
m基于FPGA的Hamming汉明编译码verilog实现,包含testbench测试文件,不使用IP核
m基于FPGA的Hamming汉明编译码verilog实现,包含testbench测试文件,不使用IP核
52 1
|
6天前
|
算法 异构计算
m基于FPGA的MPPT最大功率跟踪算法verilog实现,包含testbench
该内容包括三部分:1) 展示了Vivado 2019.2和Matlab中关于某种算法的仿真结果图像,可能与太阳能光伏系统的最大功率点跟踪(MPPT)相关。2) 简述了MPPT中的爬山法原理,通过调整光伏电池工作点以找到最大功率输出。3) 提供了一个Verilog程序模块`MPPT_test_tops`,用于测试MPPT算法,其中包含`UI_test`和`MPPT_module_U`两个子模块,处理光伏电流和电压信号。
11 1

热门文章

最新文章