基于VHDL的四路抢答器设计(程序)

简介:
 
 
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity carrie is
 port
 (
    CLK:std_logic;   --扫描脉冲
  host  : in std_logic;  --主持人信号
  answer  : in std_logic_vector(3 downto 0);  --抢答信号
  light  : out std_logic_vector(3 downto 0)   --指示灯
 );
end entity;
architecture rtl of carrie is
  
signal lock:std_logic;   --定义一个‘锁’信号使得一人抢答后其他人不能再抢答 
begin
 process (host,answer,CLK)
 begin
  if(host='0')then   -- 主持人清零
   light<="0000";   --清零
    lock<='0';     
  elsif (HOST='1')then    --主持人置‘1’,开始抢答
    IF(LOCK='0') THEN    --控制 信号,完成只能有一路选通
    IF(CLK'EVENT AND CLK='1') THEN        --脉冲扫描 
   case answer is
   when "1000"=>light<="1000";lock<='1';   --lock 信号使得一人抢答后其他人不能再抢答上
   when "0100"=>light<="0100";lock<='1';
   when "0010"=>light<="0010";lock<='1';
   when "0001"=>light<="0001";lock<='1'; 
   when others=>light<="0000";   
   end case;
  end if; 
end if; 
end if;
 end process;
end rtl;

 
 
 
 
 
 
目录
相关文章
|
1月前
|
安全 开发工具 芯片
基于VHDL语言的乒乓游戏机电路的设计_kaic
基于VHDL语言的乒乓游戏机电路的设计_kaic
|
24天前
基于51单片机的简单交通灯程序
基于51单片机的简单交通灯程序
9 2
|
8月前
|
存储
58【数字电路】数字电路的学习核心
【数字电路】数字电路的学习核心
68 0
|
8月前
|
JavaScript BI
5 控制转移指令【微机原理】
5 控制转移指令【微机原理】
59 0
|
8月前
【单片机期中测试】11.交通灯
【单片机期中测试】11.交通灯
30 0
|
11月前
基于51单片机的简易抢答器设计
1、主持人按下抢答开始按键,抢答者才可以开始抢答,数码管抢答倒计时20S。 2、抢答者按下按键,数码管显示抢答者的编号。 3、可以通过按键修改倒计时时间。
94 0
|
11月前
基于51单片机的8八路抢答器设计
(1)主持人进行复位,依次显示8位选手的分数,8位选手分数显示结束后主持人方可按下开始按键; (2)主持人按下抢答开始按键,抢答者才可以开始抢答,数码管抢答倒计时10S; (3)抢答者按下按键,数码管显示抢答者的编号和答题剩余时间倒计时20S; (4)在20S内,抢答者答题正确,主持人按下加分按键,分数加一,答错主持人按下减分按键,分数减一(默认抢答者的初始分数为60)。
182 0
|
芯片
基于单片机的八路抢答器设计
基于单片机的八路抢答器设计
166 0
基于单片机的八路抢答器设计
|
传感器 前端开发 网络虚拟化
电赛中常用的模块电路设计
电赛中常用的模块电路设计
339 0
电赛中常用的模块电路设计
|
芯片
基于单片机的抢答器设计 proteus仿真资料
基于单片机的抢答器设计 proteus仿真资料
100 0
基于单片机的抢答器设计 proteus仿真资料