基于直方图的图像曝光量分析FPGA实现,包含tb测试文件和MATLAB辅助验证

简介: 该内容包括了算法的运行效果展示、软件版本信息、理论概述和核心程序代码。在正常图像中,`checkb`位于`f192b`和`f250b`之间,而多度曝光图像中`checkb`超出此范围,判断为曝光过度。使用的软件为Vivado 2019.2和MATLAB 2022a。理论依据未详细给出,但提及主要方法。提供的Verilog代码段用于处理图像数据,包括读取文件、时钟控制及图像histogram计算等,其中模块`im_hist`似乎是关键部分。

1.算法运行效果图预览
正常图像:

image.png
image.png

checkb位于f192b和f250b之间

多度曝光图像:

image.png
image.png

checkb位于f192b和f250b之外,判决为曝光过度。

2.算法运行软件版本
vivado2019.2

matlab2022a

3.算法理论概述
参考资料如下:

image.png

主要采用的方法为:

image.png

4.部分核心程序

````timescale 1ns / 1ps
//
// Company:
// Engineer:
//

module test_image;

reg i_clk;
reg i_rst;
reg i_ready;
reg [7:0] Tmp[0:100000];
reg [7:0] datas;
wire[15:0]o_histb;
wire o_f192b;
wire o_f250b;
wire o_checkb;
wire[23:0]o_hist996b;
wire[23:0]o_histcumb;
integer fids,jj=0,dat;

//D:\FPGA_Proj\FPGAtest\code2

initial
begin
fids = $fopen("D:\FPGA_Proj\FPGAtest\code2\datab.bmp","rb");
dat = $fread(Tmp,fids);
$fclose(fids);
end

initial
begin
i_clk=1;
i_rst=1;
i_ready=0;

1000;

i_ready=1;
i_rst=0;

655350;

i_ready=0;
end

always #5 i_clk=~i_clk;

always@(posedge i_clk)
begin
datas<=Tmp[jj];
jj<=jj+1;
end

im_hist im_hist_u(
.i_clk (i_clk),
.i_rst (i_rst),
.i_ready (i_ready),
.i_xin (datas),
.o_histb (o_histb),
.o_f192b (o_f192b),
.o_f250b (o_f250b),
.o_hist996b(o_hist996b),
.o_histcumb(o_histcumb),
.o_checkb (o_checkb)
);

endmodule

```

相关文章
|
2天前
|
算法 计算机视觉 异构计算
基于肤色模型的人脸识别FPGA实现,包含tb测试文件和MATLAB辅助验证
这是一个关于肤色检测算法的摘要:使用MATLAB 2022a和Vivado 2019.2进行测试和仿真,涉及图像预处理、RGB到YCbCr转换、肤色模型(基于阈值或概率)以及人脸检测。核心程序展示了如何读取图像数据并输入到FPGA处理,通过`tops`模块进行中值滤波、颜色空间转换及人脸检测,最终结果输出到&quot;face.txt&quot;。
|
2天前
|
数据可视化 算法
MATLAB Simulink晶闸管的特性分析
MATLAB Simulink晶闸管的特性分析
9 2
|
2天前
|
调度
知识分享|分段函数线性化及matlab测试
知识分享|分段函数线性化及matlab测试
参与辅助服务的用户侧储能优化配置及经济分析(matlab代码)
参与辅助服务的用户侧储能优化配置及经济分析(matlab代码)
|
2天前
|
数据挖掘
考虑时空相关性的风电功率预测误差建模与分析(matlab程序)
考虑时空相关性的风电功率预测误差建模与分析(matlab程序)
|
2天前
|
关系型数据库 新能源 调度
【matlab测试与修正】考虑源荷两侧不确定性的含风电电力系统低碳调度
【matlab测试与修正】考虑源荷两侧不确定性的含风电电力系统低碳调度
|
2天前
|
网络协议 安全 测试技术
性能工具之emqtt-bench BenchMark 测试示例
【4月更文挑战第19天】在前面两篇文章中介绍了emqtt-bench工具和MQTT的入门压测,本文示例 emqtt_bench 对 MQTT Broker 做 Beachmark 测试,让大家对 MQTT消息中间 BenchMark 测试有个整体了解,方便平常在压测工作查阅。
128 7
性能工具之emqtt-bench BenchMark 测试示例
|
2天前
|
机器学习/深度学习 数据采集 人工智能
【专栏】AI在软件测试中的应用,如自动执行测试用例、识别缺陷和优化测试设计
【4月更文挑战第27天】本文探讨了AI在软件测试中的应用,如自动执行测试用例、识别缺陷和优化测试设计。AI辅助工具利用机器学习、自然语言处理和图像识别提高效率,但面临数据质量、模型解释性、维护更新及安全性挑战。未来,AI将更注重用户体验,提升透明度,并在保护隐私的同时,通过联邦学习等技术共享知识。AI在软件测试领域的前景广阔,但需解决现有挑战。
|
1天前
|
测试技术
如何管理测试用例?测试用例有什么管理工具?YesDev
该文档介绍了测试用例和测试用例库的管理。测试用例是描述软件测试方案的详细步骤,包括测试目标、环境、输入、步骤和预期结果。测试用例库用于组织和管理这些用例,强调简洁性、完整性和可维护性。管理者可以创建、删除、重命名用例库,搜索和管理用例,以及通过层级目录结构来组织用例。此外,还支持通过Excel导入和导出测试用例,以及使用脑图查看用例关系。后台管理允许配置全局别名,如用例状态、优先级和执行结果。
|
2天前
|
机器学习/深度学习 人工智能 运维
深入探索软件测试:策略、工具与未来趋势
【5月更文挑战第14天】在软件开发的生命周期中,测试环节扮演着至关重要的角色。它不仅保证产品能够达到预定的质量标准,还有助于提前发现并修复潜在的缺陷,从而减少维护成本和提高用户满意度。本文将深入探讨当前软件测试领域的最佳实践,包括测试策略的制定、工具的选择以及面对快速变化的技术环境如何保持测试活动的前瞻性和灵活性。通过分析自动化测试、性能测试和安全测试等关键领域,本文旨在为读者提供一个全面的软件测试指南,同时对未来的发展趋势进行预测。

热门文章

最新文章