TCL管理Vivado工程

简介: TCL管理Vivado工程


TCL管理Vivado工程

工程结构

1. 项目目录

  • config: 配置文件、coe文件等。
  • doc: 文档
  • fpga: 最后恢复的fpga工程目录
  • ip: ip文件
  • mcs: bit流文件等,方便直接使用
  • src: .v、.vh等源文件
  • tcl: 用来恢复工程的tcl脚本

2. 导出脚本文件

通过TCL Console 将目录切换到tcl目录。

  1. 生成新建工程tcl脚本
    在TCL Console执行
write_project_tcl -use_bd_files {./project.tcl}
  1. 如果工程有bd文件,生成对应的tcl脚本用于恢复工程。
    ( 需要打开对应的bd文件,不打开会找不到)
write_bd_tcl -no_ip_version {./bd.tcl}
  1. 如果有多个bd文件,需要依次打开然后执行上述指令。
    -no_ip_version选项用于去除IP的版本信息,方便工程在高版本vivado中打开的情况。
  2. 生成IP文件脚本
write_ip_tcl [get_ips ETH_RX_FIFO] {./ip.tcl}
  1. 这里采用将原工程中ip的xci文件直接拷贝到ip目录中的方式。
  2. 添加仿真文件和约束文件将原工程的源文件、仿真文文件、约束文件拷贝到src目录下。
  • vcode中存放源文件
  • xdc中存放约束文件

3. 修改TCL脚本

3.1 project.tcl

  1. 修改工程路径
set origin_dir "."
修改为
set origin_dir [ file dirname [ info script ] ]
  1. 修改_xil_proj_name_即可更改工程名字
set _xil_proj_name_ "promanagement"
  1. 修改恢复后工程的存放路径( 这里希望生成的工程在fpga目录下)
# Create project
create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7z020clg484-1
修改为
create_project ${_xil_proj_name_} ../fpga/${_xil_proj_name_} -part xc7z020clg484-1
  1. 修改block design相关的配置
set files [list \
 [file normalize "${origin_dir}/../../promanagement/promanagement.srcs/sources_1/bd/system/system.bd" ]\
 [file normalize "${origin_dir}/../../promanagement/promanagement.srcs/sources_1/bd/system/hdl/system_wrapper.v" ]\
 [file normalize "${origin_dir}/../../promanagement/promanagement.srcs/sources_1/new/top.v" ]\
]
set imported_files [import_files -fileset sources_1 $files]
# Set 'sources_1' fileset file properties for remote files
# None
# Set 'sources_1' fileset file properties for local files
set file "system/system.bd"
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "registered_with_manager" -value "1" -objects $file_obj
修改为
# Create block design
source $origin_dir/bd.tcl
# Generate the wrapper
set design_name [get_bd_designs]
make_wrapper -files [get_files $design_name.bd] -top -import
set files [list \
    [file normalize "${origin_dir}/../src/vcode/top.v" ]\
]
set imported_files [import_files -fileset sources_1 $files]
  1. 修改v文件配置
    在上一步的修改内容中实际上已经进行了配置
  2. 修改ip文件配置
set files [list \
 [file normalize "${origin_dir}/../../promanagement/promanagement.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci" ]\
]
修改为
set files [list \
 [file normalize "${origin_dir}/../ip/clk_wiz_0/clk_wiz_0.xci" ] \
]
  1. 修改约束文件配置
set file "new/top.xdc"
set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
set file "[file normalize "$origin_dir/../../promanagement/promanagement.srcs/constrs_1/new/top.xdc"]"
修改为
set file "xdc/top.xdc"
set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
set file "[file normalize "$origin_dir/../src/xdc/top.xdc"]"

3.2 bd.tcl

set list_projs [get_projects -quiet]
if { $list_projs eq "" } {
   create_project project_1 myproj -part xc7z020clg484-1
}
注释掉

4. 工程恢复

source project.tcl
相关文章
|
7月前
|
Go 芯片 异构计算
Vivado初体验LED工程 3
Vivado初体验LED工程
52 0
|
7月前
|
自然语言处理 芯片 异构计算
Vivado初体验LED工程 1
Vivado初体验LED工程
68 0
|
15天前
|
IDE 安全 中间件
【瑞萨MCU】e2 studio 灵活配置软件包 FSP 安装
【瑞萨MCU】e2 studio 灵活配置软件包 FSP 安装
|
7月前
|
异构计算
Vivado初体验LED工程 2
Vivado初体验LED工程
43 0
|
8月前
|
JSON C++ 数据格式
2022-12-6-Cmake工程转VS环境开发
2022-12-6-Cmake工程转VS环境开发
80 0
|
IDE 开发工具 C++
MDK5软件入门之——基础工程创建及下载和调试
MDK5软件入门之——基础工程创建及下载和调试
307 0
MDK5软件入门之——基础工程创建及下载和调试
西门子S7-200 SMART项目的编译、如何下载、运行调试、上传项目
上篇文章中我们学习了西门子S7-200 SMART如何切换编程编辑器、输入LAD程序以及如何编辑程序,本篇我们来介绍编程软件STEP7-Micro/WIN SMART中项目的编译、下载、运行调试和上传。
西门子S7-200 SMART项目的编译、如何下载、运行调试、上传项目
|
C语言 C++ 芯片
5、IAR工程建立及使用
5、IAR工程建立及使用
119 0
5、IAR工程建立及使用
|
编解码 开发工具
Hi3516开发笔记(九):在QtCreator开发环境中引入海思sdk的bsp包,运行显示Qt界面
Hi3516开发笔记(九):在QtCreator开发环境中引入海思sdk的bsp包,运行显示Qt界面
Hi3516开发笔记(九):在QtCreator开发环境中引入海思sdk的bsp包,运行显示Qt界面
|
存储 算法 异构计算
vivado中TCL的使用
vivado中TCL的使用

热门文章

最新文章