【前端设计】保持代码手感——多对一的握手合并模块

简介: 【前端设计】保持代码手感——多对一的握手合并模块


前言

【芯片前端】保持代码手感——一对多的握手拆分模块_尼德兰的喵的博客-CSDN博客_前端拆分模块


有一对多的握手场景,就必然有多对一的握手场景,这篇文章就是探究下握手融合场景应该如何组织rtl。


接口

握手融合嘛,那必然是上游有多组握手接口,下游有一组握手接口:



对应的接口即为:

module hand_merge #(
  parameter CHANNEL = 2
)(
  input  [CHANNEL -1:0]in_valid,
  output [CHANNEL -1:0]in_ready,
  output         out_valid,
  input        out_ready
);
endmodule


实现

明确下功能,对于多打一的握手merge场景,显然需要上游所有的in_valid均为有效后,才能向下游起out_valid有效,因此对下游的out_valid逻辑很简单:

assign out_valid = &in_valid;


而对上游的in_ready逻辑呢稍微复杂一下,我之前尝试写了两种代码,一种是当所有的in_valid有效且out_ready有效时,对上游起in_ready:

assign in_ready[CHANNEL -1:0]in_ready = {CHANNEL{out_ready && (&in_valid)}};


然后是第二种写法,基于ready和valid解耦的思想,对于某一路的ready实际上不需要看自己这一路的valid,只要其他路的valid都来了,out-ready也有了那么这一路的in_ready就可以有效,此时只要这一路的in_valid一来,就满足了所有in_valid全部到达的条件,如果以这个思路那么组织代码为:

genvar i;
generate
for(i=0; i<CHANNEL; i=i+1)begin
    wire [CHANNEL -1:0] mask = {{(CHANNEL-1){1'b0}},1'b1} << i;
    wire [CHANNEL -1:0] in_valid_mask = in_valid | mask;
    assign in_ready[i] = out_ready && (&in_valid_mask);
end
endgenerate


最后我思来想去更加满意的还是第二种思路,因此最终的完成版代码就是这样:

module hand_merge #(
  parameter CHANNEL = 2
)(
  input  [CHANNEL -1:0]in_valid,
  output [CHANNEL -1:0]in_ready,
  output         out_valid,
  input        out_ready
);
genvar i;
generate
for(i=0; i<CHANNEL; i=i+1)begin
    wire [CHANNEL -1:0] mask = {{(CHANNEL-1){1'b0}},1'b1} << i;
    wire [CHANNEL -1:0] in_valid_mask = in_valid | mask;
    assign in_ready[i] = out_ready && (&in_valid_mask);
end
endgenerate
assign out_valid = &in_valid;
endmodule


相关文章
|
22天前
|
前端开发 小程序 Java
uniapp上传图片 前端以及java后端代码实现
uniapp上传图片 前端以及java后端代码实现
34 0
|
26天前
|
缓存 前端开发
前端代码整洁与规范之CSS篇
【4月更文挑战第2天】 前端代码整洁与规范之CSS篇
43 4
|
1月前
|
JSON 前端开发 Java
layui上传图片,前端直接拷代码,后端……
layui上传图片,前端直接拷代码,后端……
31 0
|
1月前
|
缓存 前端开发 JavaScript
揭秘前端性能优化:从代码到用户体验的全面升级
揭秘前端性能优化:从代码到用户体验的全面升级
20 0
|
2月前
|
前端开发 JavaScript 安全
从前端性能优化角度谈JavaScript代码压缩与混淆
本文从前端性能优化的角度出发,探讨了JavaScript代码压缩与混淆的重要性及实现方式,通过分析不同压缩混淆工具的特点和效果,为开发者提供了实用的指导和建议。
|
4天前
|
前端开发 JavaScript 开发者
深入了解Webpack:前端模块打包工具
深入了解Webpack:前端模块打包工具
8 1
|
14天前
|
前端开发 JavaScript 算法
比较流行的前端代码书写规范都有哪些
【4月更文挑战第13天】前端代码规范增进代码可读性和团队协作,包括缩进(用2空格)、命名(变量 camelCase,常量 MY_CONSTANT,类 PascalCase)、注释、语句与表达式、错误处理、代码复用。文件命名规范涉及扩展名、目录结构、简洁文件名、入口文件和配置文件命名。遵循这些规范能提高代码一致性,但需按项目需求调整。不断学习新规范以适应前端技术发展。
16 1
|
16天前
|
监控 前端开发 JavaScript
如何使用浏览器调试前端代码?
【4月更文挑战第11天】前端开发中,浏览器调试是关键技能,能提升代码质量。本文介绍了如何使用浏览器的调试工具:1) 打开调试窗口(F12或右键检查);2) Elements标签页检查DOM结构和样式;3) Console调试JavaScript,查看日志和错误信息;4) Sources设置断点调试JS文件;5) 利用Network、Performance和Memory等标签页优化性能。熟悉调试工具、利用日志和错误信息能有效定位问题,提高开发效率。
42 7
|
1月前
|
前端开发 JavaScript 测试技术
前端模块规划
前端模块规划
14 1
前端模块规划
|
1月前
|
前端开发 开发者
React Hooks:提升前端开发效率和代码可维护性
传统的 React 类组件在处理状态管理和生命周期函数时存在一些限制,而引入的 React Hooks 技术可以帮助前端开发者更高效地管理组件状态和逻辑,提升代码的可读性和可维护性。本文将介绍 React Hooks 的基本用法及其在实际项目中的应用场景。