【python脚本】ICer的脚本入门训练——gen_tc

简介: 【python脚本】ICer的脚本入门训练——gen_tc

前言

【python脚本】ICer的脚本入门训练——svn_back


上一篇博客的目的,是通过处理固定流程的事物来体现脚本的必要性。而后这篇博客的目的,是熟悉脚本里的一些基本的处理思路。


gen_tc是一个芯片前端验证很常见的脚本,作用是:


1.根据已有的tc生成新的tc文件;


2.修改内部关键字;


3.输出文件;


在这个过程中,我们需要涉及到的处理包括:吃命令行,遍历输入文件,正则匹配与替换,文件输出等过程,作为我第一个学习的脚本,几十行的内容简直最合适作为入门训练。


要求

假定目前我们有一个case,文件名为:sanity_case.sv,内容就是个普普通通的case如下:

`ifndef SANITY_CASE_SV
`define SANITY_CASE_SV
class sanity_case_seq extends my_sequence;
  extern function new(string name = "sanity_case_seq");
  extern virtual task body();
  `uvm_object_utils(sanity_case_seq)
endclass: sanity_case_seq
function sanity_case_seq::new(string name = "sanity_case_seq");
  super.new(name);
endfunction: new
task sanity_case_seq::body();
  repeat(10000) begin
    `uvm_do_with(my_tr, {my_tr.par_err == 0;})
  end
  #100;
endtask: body
class sanity_case extends base_test;
  extern function new(string name = "base_test", uvm_component parent=null);
  extern virtual function void build_phase(uvm_phase phase);
  `uvm_component_utils(sanity_case)
endclass: sanity_case
function sanity_case::new(string name = "base_test", uvm_component parent=null);
    super.new(name, parent);
endfunction: new
function void sanity_case::build_phase(uvm_phase phase);
  super.build_phase(phase);
  uvm_config_db #(uvm_object_wrapper)::set(
    this,
    "env.i_agt0.sqr.main_phase",
    "default_sequence",
    sanity_case_seq::type_id::get()
  );
    uvm_config_db #(uvm_object_wrapper)::set(
    this,
    "env.i_agt1.sqr.main_phase",
    "default_sequence",
    sanity_case_seq::type_id::get()
  );
endfunction: build_phase
`endif



那么我们要做的就是,编写一个脚本 gen_tc,在当前目录执行gen_tc sanity_case.v new_case.v之后,在当前目录生成新的文件new_case.v。


实操

1.新建文件gen_tc

键入以下内容作为初始:



之后修改文件属性为可执行属性chmod a+x gen_tc,然后你就会发现你的脚本绿了:



敲一下,确认可执行,然后继续下一步:



2.读取命令参数

gen_tc脚本要吃两个参数,一般处理参数有两种常用的方式:sys.argv数组和argparse库。使用argparse的典型方式,如下面的代码,具体功能我们不做探究:

import argparse
def input_args_proc():
    parser = argparse.ArgumentParser(description="argparse info")
    parser.add_argument('-o', action='store_true', default=False, help='open this script')
    result = parser.parse_args()
    if result.o == True:
        os.system("gvim %s" % __file__)
        sys.exit(0)


gen_tc的输入参数情况比较简单,两个参数必须输入,因此不需要使用argparse,直接使用sys.argv数组即可。比如目前的输入获取方式:

def input_sys():
    if len(sys.argv) > 2:
        from_tc = sys.argv[1]
        to_tc = sys.argv[2]
    else:
        print("Input error")
        sys.exit(0)
    return from_tc, to_tc


sys.argv[0]不要使用,那是脚本自身名称。在main函数中接受该函数的返回值,读取输入参数的操作就完成了。

from_tc, to_tc = input_sys()


3.读取并修改参考tc

可以通过以下的形式形式来读取文件:

def modify_tc(file):
    with open(file, "r") as handle:
        hd = handle.readlines()
        for line in hd:
            line = line.strip("\n")
            print(line)


读取文件后,在没一行内匹配“sanity_case”或“SANITY_CASE”关键字,并将其替换为“new_case”和“NEW_CASE”,而后将字符串暂存于数组中,作为函数返回值:

def modify_tc(frm, to):
    frm_key = re.sub("\.sv","",frm) #得到sanity_case.sv里的sanity_case
    frm_uc = frm_key.upper() #纯小写
    frm_lc = frm_key.lower() #纯大写,执行的时候把这块注释删了
    to_key  = re.sub("\.sv","",to)
    to_uc = to_key.upper()
    to_lc = to_key.lower()
    out_file = []
    with open(frm, "r") as handle:
        hd = handle.readlines()
        for line in hd:
            line = line.strip("\n")
            line = re.sub(frm_uc, to_uc, line)
            line = re.sub(frm_lc, to_lc, line)
            out_file.append(line)
    return out_file


main函数中接收返回值:

out_file = modify_tc(from_tc, to_tc)


4.输出文件

输出文件的函数比较固定:

def write_list(lst, out):
    with open(out, "w") as handle:
        for line in lst:
            handle.write(line+"\n")


在main中把out_file和to_tc作为参数传给该函数即可:

write_list(out_file, to_tc)


5.执行脚本

代码编写完成后,执行脚本,打开文件new_case.sv:


`ifndef NEW_CASE_SV
`define NEW_CASE_SV
class new_case_seq extends my_sequence;
  extern function new(string name = "new_case_seq");
  extern virtual task body();
  `uvm_object_utils(new_case_seq)
endclass: new_case_seq
function new_case_seq::new(string name = "new_case_seq");
  super.new(name);
endfunction: new
task new_case_seq::body();
  repeat(10000) begin
    `uvm_do_with(my_tr, {my_tr.par_err == 0;})
  end
  #100;
endtask: body
class new_case extends base_test;
  extern function new(string name = "base_test", uvm_component parent=null);
  extern virtual function void build_phase(uvm_phase phase);
  `uvm_component_utils(new_case)
endclass: new_case
function new_case::new(string name = "base_test", uvm_component parent=null);
    super.new(name, parent);
endfunction: new
function void new_case::build_phase(uvm_phase phase);
  super.build_phase(phase);
  uvm_config_db #(uvm_object_wrapper)::set(
    this,
    "env.i_agt0.sqr.main_phase",
    "default_sequence",
    new_case_seq::type_id::get()
  );
    uvm_config_db #(uvm_object_wrapper)::set(
    this,
    "env.i_agt1.sqr.main_phase",
    "default_sequence",
    new_case_seq::type_id::get()
  );
endfunction: build_phase
`endif


相关文章
|
5天前
|
数据挖掘 数据处理 Python
Python编程入门:从基础到实践
【6月更文挑战第26天】这篇文章引导读者逐步学习Python编程,从基础语法如变量、数据类型(整数、浮点数、字符串)到条件语句、循环(if/for/while),再到函数定义和模块导入。通过实例展示了Python在文本处理、数据分析(使用pandas)和Web开发(使用Flask)的应用。学习Python能为初学者开启更广阔的技术领域,如面向对象编程、并发和网络编程等。
|
4天前
|
自然语言处理 编译器 PHP
Python入门
【6月更文挑战第27天】Python入门。
10 3
|
4天前
|
存储 数据库 Python
Python 脚本死锁问题与解决方案
该 Python 脚本旨在启动多个线程,每个线程又通过 Popen 启动一个子进程。子进程将从一个数据库中的表格中将 10M 条记录传输到另一个数据库中的不同表格中。这个过程中会涉及大量的数据整理和转换,因为两个数据库具有不同的架构。子进程在执行过程中,如果遇到错误(如错误的记录或重复的主键)或执行成功,都会输出 “Done\n”;如果没有更多记录可供传输,则会输出 “NO DATA\n”。
|
1天前
|
SQL 分布式计算 DataWorks
DataWorks产品使用合集之在依赖普通的Python脚本和开源第三方包的场景下,如何使用DataWorks PyODPS节点调用第三方包
DataWorks作为一站式的数据开发与治理平台,提供了从数据采集、清洗、开发、调度、服务化、质量监控到安全管理的全套解决方案,帮助企业构建高效、规范、安全的大数据处理体系。以下是对DataWorks产品使用合集的概述,涵盖数据处理的各个环节。
13 0
|
1天前
|
分布式计算 DataWorks 安全
DataWorks产品使用合集之跑python3脚本,已按照文档安装上模块,提示找不到模块,是什么问题
DataWorks作为一站式的数据开发与治理平台,提供了从数据采集、清洗、开发、调度、服务化、质量监控到安全管理的全套解决方案,帮助企业构建高效、规范、安全的大数据处理体系。以下是对DataWorks产品使用合集的概述,涵盖数据处理的各个环节。
7 0
|
4天前
|
Shell Python
技术经验解读:使用python脚本传递参数:(三种方式可收藏)
技术经验解读:使用python脚本传递参数:(三种方式可收藏)
|
5天前
|
数据安全/隐私保护 Python
程序技术好文:猪圈密码python脚本实现
程序技术好文:猪圈密码python脚本实现
10 0
|
5天前
|
Python
python3 入门学习笔记
python3 入门学习笔记
5 0
|
1月前
|
存储 区块链 Python
怎么把Python脚本打包成可执行程序?
最近根据用户提的需求用python做了一个小工具,但是在给客户使用的时候不能直接发送python文件,毕竟让客户去安装python环境,那就离了大谱了。所以这时候就需要把多个py文件带着运行环境打包成EXE可执行文件。
怎么把Python脚本打包成可执行程序?
|
18天前
|
存储 区块链 Python
怎么把Python脚本打包成可执行程序?
【6月更文挑战第3天】最近根据用户提的需求用python做了一个小工具,但是在给客户使用的时候不能直接发送python文件,毕竟让客户去安装python环境,那就离了大谱了。所以这时候就需要把多个py文件带着运行环境打包成EXE可执行文件。
15 1