【验证小bai】关于多个声明域变量名重名时如何取用的问题——还是以随机约束为例

简介: 【验证小bai】关于多个声明域变量名重名时如何取用的问题——还是以随机约束为例

前言

前文就是这个:


【验证小bai】randomize中的this.指的是哪个this呢


然后发现还是说的不怎么明白,因此在这篇里把情况掰开揉碎的说明一下,标题就叫:关于多个声明域变量名重名时如何取用的问题;


具体的场景就是下图,在class A的function B中随机C,此时randomize中需要使用一个变量mid,恰好A(下用class表示)/B(下用function表示)/C(下用transaction表示)内都有一个变量名字叫做mid;



最完备的场景罗列

在上次的博客博客中,我们已经确定了randomize中this的执行性,那么问题来了,如果我就是需要使用A、B或C中的mid,那么怎么办呢,看下面的示例代码:


transaction的声明:

class tv0;
    rand bit[7:0] id;
    bit[7:0] mid = 8'hF;
endclass


最外层的class的声明:

class sanity_case extends base_test;
    bit[7:0] mid = 8'hA;
  extern function new(string name = "base_test", uvm_component parent=null);
  extern virtual function void build_phase(uvm_phase phase);
  `uvm_component_utils(sanity_case)
endclass: sanity_case


function内的声明与取用验证:

function sanity_case::new(string name = "base_test", uvm_component parent=null);
    bit[7:0] mid = 8'h5;
    tv0 t0 = new();
    tv0 t1 = new();
    tv0 t2 = new();
    tv0 t3 = new();
    super.new(name, parent);
    t0.randomize with {id == this.mid;};
    t1.randomize with {id == sanity_case::mid;};
    t2.randomize with {id == local::mid;};
    t3.randomize with {id == mid;};
    $display("t0.id = 'h%0h", t0.id);
    $display("t1.id = 'h%0h", t1.id);
    $display("t2.id = 'h%0h", t2.id);
    $display("t3.id = 'h%0h", t3.id);
    $finish;
endfunction: new


目前mid的值,transaction内为'hF,class中为'hA,function中为'h5;在randomize中取用方式分别使用了this./sanity_case::/local::/不带前缀四种方式,得到的结果如下:

t0.id = 'hf
t1.id = 'ha
t2.id = 'h5
t3.id = 'hf
$finish called from file "../tc/sanity_case.sv", line 56.
$finish at simulation time                    0


因此可以得出结论,当class/transaction/function三个维度均存在该同名变量时:


  • this.mid选择的是transaction内的变量;
  • class_name::mid选择的是class内的变量;
  • local::mid选择的是function内的变量;
  • mid选择的是transaction内的变量;

当transaction内没有mid时

调整代码如下:

class tv0;
    rand bit[7:0] id;
    //bit[7:0] mid = 8'hF;
endclass


打印结果:

t0.id = 'ha
t1.id = 'ha
t2.id = 'h5
t3.id = 'h5
$finish called from file "../tc/sanity_case.sv", line 56.
$finish at simulation time                    0


结论:


  • this.mid选择的是class内的变量;
  • class_name::mid选择的是class内的变量;
  • local::mid选择的是function内的变量;
  • mid选择的是function内的变量;

~~~~~~~~~~~~~~~~~你学废了吗!~~~~~~~~~~~~~~~~~~~~~


相关文章
|
2月前
结构体\判断日期是否合法(代码分步解析)
结构体\判断日期是否合法(代码分步解析)
18 1
|
2月前
|
Go
go变量定义、变量交换、匿名变量
go变量定义、变量交换、匿名变量
15 0
|
11月前
|
程序员 Ruby
“茴” 字的六种写法---l 类方法的七种定义方式
“茴” 字的六种写法---l 类方法的七种定义方式
|
编译器
函数的声明和定义(如何分文件使用)
函数的声明和定义(如何分文件使用)
|
存储 索引 容器
数组的定义方式及访问
数组的定义方式及访问
96 0
|
数据安全/隐私保护
设置公共变量
主题:公共变量的设置
|
SQL 数据库
织梦DEDECMS添加新变量和删除新变量的方法详解
在用织梦建站时,有时候想调用自定义的某些信息,而织梦后台没有调用这些信息的地方,例如站长邮箱、站长QQ等,这时我们就可以用织梦后台添加新变量的方法调用自定义信息。本文主要讲解一下织梦添加新变量和删除新变量的方法。
西门子S7-1200PLC变量表如何使用?如何声明、选用、显示、定义、更改变量?变量保持性如何设置?
在S7-1200 CPU的编程理念中,特别强调符号寻址的使用,在开始编写程序之前,用户应当为输入、输出、中间变量定义相应的符号名,也就是标签。具体步骤如下:
西门子S7-1200PLC变量表如何使用?如何声明、选用、显示、定义、更改变量?变量保持性如何设置?
|
JavaScript 开发者
路由-关于命名视图的名称到底是变量还是字符串的说明|学习笔记
快速学习路由-关于命名视图的名称到底是变量还是字符串的说明
61 0
【TP5】模型里边使用构造函数提示没有这个属性
【TP5】模型里边使用构造函数提示没有这个属性
97 0