labview图形显示正弦曲线信号发生器频率幅值相位数字示波器滤波器频谱分析

简介: labview图形显示正弦曲线信号发生器频率幅值相位数字示波器滤波器频谱分析

例1.实时绘制正弦曲线

前面板.

(1)添加1个波形图表控件:控件选板→新式→图形→波形图表。

(2)添加1个停止按钮:控件选板→新式-→布尔→停止按钮。

设计的程序前面板如图9-1所示。

9565f09cc735c659586ab896e7832ee.png

框图程序

(1)添加1个除法函数:函数选板→编程→数值→除。

(2)添加1个数值常量:函数选板→编程→数值→数值常量,将值改为10。

(3)添加1个正弦函数:函数选板→数学-→初等与特殊函数-→三角函数→正弦。

(4)添加1个定时函数:函数选板→编程→定时→时间延迟,延迟时间设为0. 5秒。

(5)添加1个While循环结构:函数选板→编程-→结构→While循环。按住鼠标左键,画出一个While循环的方框,将当前程序框图中的所有控件都置于While循环的方框中。

连线后的框图程序如图9-2所示。

13ccdf1b5a7520ceb6025bafff8d1e9.png


运行程序

执行“运行”。程序运行界面如图9-3所示。


0fcabadd7af5dd57c0f04232978ce8c.png

例2.实时绘制正弦曲线

前面板,

(1)添加1个波形图表控件:控件选板→新式→图形→波形图表。

(2)添加1个停止按钮:控件选板→新式→布尔→停止按钮。

设计的程序前面板如图9-4所示。

ee91ec584848b5c09fbe15914b077da.png

框图程序

(1)添加1个While循环结构:函数选板→编程-→结构→While循环结构。

(2)在While循环结构中添加1个除法函数:函数选板→编程-→数值→除。

(3)在While循环结构中添加1个数值常量:函数选板-→编程→数值→数值常量,将值改为10。

(4)在While循环结构中添加1个定时函数:函数选板→编程-→定时→时间延迟,延迟时间设为0.5秒。

(5)在While循环结构中添加1个正弦函数:函数选板→数学→初等与特殊函数→三角函数-→正弦。

(6)将波形图表控件、停止按钮控件的图标移到While循环结构中。

(7)将While循环的循环端屿除法函数的输入端口x相连。

(8)将数值常量10与除法函数的输入端口y相连。

(9)将除法函数的输出端口x/y与正弦函数的输入端口x相连。

(10)将正弦函数的输出端口sin(x)与波形图表控件相连。

(11)将停止按钮控件与While循环的条件端口相连。

连线后的框图程序如图9-5所示。

4d13e347e6551c2f3674ad34cae231b.png

运行程序

执行“运行”。程序运行界面如图9-6所示。

8230bd0e612cefb41aa6b59563a4a20.png


例3.正弦信号发生器

前面板

(1)添加2个旋钮控件:控件选板-→新式→数值-→旋钮,并将标签分别改为“调节频率旋钮”和“调节幅值旋钮”。

(2)为了实时显示当前频率和幅值,添加2个数值显示控件:控件选板→新式→数值→数值显示控件,将标签分别改为“当前频率”和“当前幅值”。

(3)添加1个波形图表控件:控件选板→新式→图形→波形图表。

(4)添加1个停止按钮:控件选板→新式→布尔→停止按钮。

设计的程序前面板如图9-7所示。

60c6996039f984c84adbf1137b82e03.png

框图程序

(1)添加1个正弦波形:函数选板→信号处理→波形生成→正弦波形。

(2)添加1个定时函数:函数选板→编程→定时→时间延迟,延迟时间设为0.5秒。

(3)添加1个While循环结构:函数选板→编程-→结构→While循环。按住鼠标左键,画出一个While循环的方框,将当前程序框图中的所有控件都置于While循环的方框中。

连线后的框图程序如图9-8所示。

12dc45423ed75af759967b2022ce10b.png

运行程序

执行“运行”。在程序前面板通过转动旋钮改变输入值。以当前频率2,当前幅值2为例,程序运行界面如图9-9所示。


1e552ff8fc4be1352be8d7498aaf1e5.png

例4.频率、幅值可控的正弦波叠加一个幅值可控的噪声信号

前面板

(1)添加3个数值输入控件:控件选板→新式-→数值→数值输入控件,将标签分别改为正弦波幅值、正弦波频率、噪声幅值。

(2)添加1个波形图表控件:控件选板→新式-→图形→波形图表。

(3)添加1个停止按钮:控件选板→新式-→布尔→停止按钮。

设计的程序前面板如图9-10所示。

21492168cca29673bb341ea67a89d1d.png

框图程序

(1)添加一个仿真信号:函数选板→信号处理→波形生成→基本函数发生器。这时,弹出下面的对话框,添加“均匀白噪声”即可。

179f0fd2b1602ffffc3609777b57382.png

(2)添加1个定时函数:函数选板→编程→定时→时间延迟,延迟时间设为0.5秒。

(3)添加1个While循环结构:函数选板→编程→结构→While循环。按住鼠标左键,画出一个While循环的方框,将当前程序框图中的所有控件都置于While循环的方框中。

连线后的框图程序如图9-11所示。

4c00bb8cfe6ae1396a3d9f3c8c9dac8.png

运行程序

执行“连续运行”。在程序前面板改变输入值。以正弦波幅值3,正弦波频率3,噪声幅值4为例,程序运行界面如图9-12所示。


01967838079a86e32f6b01370dc9a80.png

例5.频率、幅值、相位可调的波形发生器

(1)添加3个数值输入控件:控件选板→新式-→数值→数值输入控件,将标签分别改为频率、幅值、相位。

(2)添加1个波形图表控件:控件选板→新式→图形→波形图表。

(3)添加1个停止按钮:控件选板→新式→布尔→停止按钮。

设计的程序前面板如图9-13所示。

eef172e800fe9b15544680b585cc55c.png

框图程序

(1)添加一个基本函数发生器:函数选板→信号处理→波形生成→基本函数发生器,在其信号类型端口右键创建输入控件“信号类型”。

(2)添加1个While循环结构:函数选板→编程-→结构→While循环。按住鼠标左键,画出一个While循环的方框,将当前程序框图中的所有控件都置于While循环的方框中。

连线后的框图程序如图9-14所示。

c58da474a030d74b0fee098951f7ada.png

运行程序

执行“连续运行”。在程序前面板改变输入值。以三角波,频率2,幅值3,相位3为例。

程序运行界面如图9-15所示。


15ed7b9d35f13dcc6d50926e20e40c2.png

例6.创建一个“数字示波器”

前面板.

(1)添加1个波形图表控件:控件选板→新式→图形→波形图表。

(2)添加2个数值输入控件:控件选板→新式→数值→数值输入控件,将标签分别改为频率、幅值。

(3)添加1个停止按钮:控件选板→新式→布尔→停止按钮。

设计的程序前面板如图9-16所示。(可以采用修饰控件进行适当的修饰)

e0e736bf0c5245f7d6d8b4fdf06c010.png

框图程序

(1)添加1个公式波形:函数选板→信号处理-→波形生成-→公式波形。

(2)添加1个字符串常量:函数选板→编程-字符串→字符串常量,并输入公式: sin (wt) +cos (2w*t)^ 2。

(3)添加1个定时函数:函数选板→编程-→定时→时间延迟,延迟时间设为0.5秒。

连线后的框图程序如图9-17所示。

ce7455b5e9fa944410a9055a03c74d6.png

运行程序

执行“运行”。在程序前面板改变输入值。以频率10,幅值1为例,程序运行界面如下图所示(前面板已经进行了适当的修饰)。如果用户需要在示波器中显示其他类型的波形,只需要编辑公式即可。

程序运d0817641ce9c11c99d125370e078686.png行界面如图9-18所示。



例7.数字滤波器的创建与调试

前面板

(1)添加2个波形图控件:控件选板→新式→图形→波形图,并将其中一个标签改为“滤波后的信号”。

(2)添加2个数值输入控件:控件选板→新式→数值→数值输入控件,将标签分别改为幅值、频率。

(3)添加1个停止按钮:控件选板→新式→布尔→停止按钮。

设计的程序前面板如图9-19所示。

42efb2eb48318440f4033e7ae47ee38.png

框图程序

(1)添加2个正弦波形:函数选板→信号处理→波形生成→正弦波形。将第一个”正弦波形”的频率设置为1HZ,幅值设置为1V (添加2个数值常量)。

(2)添加1个加法函数:函数选板→编程→数值→加法函数。

(3)添加1个滤波器:函数选板-→Express→信号分析→滤波器。这时,弹出下面的对话框。


(2)添加1个While循环结构:函数选板→编程→结构→While循环。按住鼠标左键,画出一个While循环的方框,将当前程序框图中的所有控件都置于While循环的方框中。

连线后的框图程序如图9-20所示。

c62fae855684f05d43fd219d899f44c.png

运行程序

执行“运行”。在程序前面板改变输入值。程序运行界面如图9-21所示。

a67f7982ebf6e4d647d0a3e3a7094f2.png

左边的波形图显示了两个频率分别为1HZ和35HZ,幅值为1V的正弦波的叠加结果,右边的波形图窗口显示了经过低通滤波后的波形。可以明显发现,高频信号的幅值被极大的削减,显露出1HZ低频信号的波形,可见滤波器的设计是成功的。


例8.设计一个频谱分析仪器

前面板

(1)添加1个波形图控件:控件选板→新式→图形→波形图。

(2)添加1个停止按钮:控件选板→新式→布尔→停止按钮。

设计的程序前面板如图9-22所示。

87c8e3c79f7a15115c184b3dc0213c9.png

框图程序

(1)添加1个仿真信号:函数选板→Express→输入→仿真信号。这时LabVIEW将自动弹出下面的对话框。如图9-23进行设置。ee8940812bc59543192373c680ffb57.png

在信号类型下拉列表框中选择“正弦信号”;

在频率(HZ) -栏中将频率设为102HZ;

选中“添加噪声”复选框;

在噪声幅值一栏中设置噪声幅度为0.1。


(2)添加1个频谱测量:函数选板→Express→信号分析→频谱测量。这时LabVIEW将自动弹出下面的对话框。如图9-24进行设置。54069f811a0786d542c24f0632dfa9a.png

在“频谱测量”对话框中“幅度(均方根)”;

在“窗”下拉列表中选择窗函数为“Hanning”窗;

选中“平均”选择框;

在“模式”一栏中选择平均方式为“均方根”。


连线后的框图程序如图9-25所示。

e6c0b52a0ea5ee190b6b24449c0750e.png

运行程序

执行“运行”。程序运行界面如图9-26所示。

0eaa10022a229620fb3895324685018.png


例9.用XY图控件产生相位差相差45°和70°的椭圆和正圆

前面板.

(1)添加1个XY图控件:控件选板→新式→图形→XY图。

设计的程序前面板如图9-27所示。

d740d5b0800c2664b2633933cce2737.png

框图程序

(1)添加1个For循环结构:函数选板→编程→结构→For循环。

(2)添加1个数值常量:函数选板→编程→数值→数值常量,将值改为3,与For循环结构的计数端口N相连。

(3)在For循环结构中添加1个条件结构:函数选板-→编程→结构→条件结构,并将条件结构的选择端口与For循环结构的循环端口相连。右键单击条件结构框架,在弹出菜单中选择“在后面添加分支”选项。

(4)在条件结构框架0、1和2中分别添加数值常量,将值分别改为45、70和90。

(5)在For循环结构中添加2个正弦信号函数:函数选板-→信号处理→信号生成→正弦信号。

(6)在For循环结构中添加1个捆绑函数:函数选板→编程-→簇与变体→捆绑。

(7)在For循环结构中添加1个定时函数:函数选板→编程→定时→等待下一个整数倍毫秒,将数值常量500与其输入端口相连。

连线后的框图程序如图9-28所示。

1f67b43d86657b0f94fc17fd07e936d.png

运行程序

执行“运行”。两个正弦函数节点产生的正弦信号经“捆绑”节点打包后送往XY图控件显示。两个正弦信号分别作为XY图控件的横坐标和纵坐标,如果两者的相位相差为45°和70°,显示的结果是两个具有不同曲率的椭圆;如果两者的相位相差为90°,显示的结果为一个正圆。

程序运行界面如图9-29所示。

a06330f816e567d34c3fb5d2620a387.png694f546ff3df5589dec6e75960fc2f1.png


相关文章
|
3月前
|
算法 异构计算
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
|
1月前
雷达波形之一——LFM线性调频波形
雷达波形之一——LFM线性调频波形
26 0
|
7月前
BJT放大电路的小信号模型分析法
BJT放大电路的小信号模型分析法是一种常用的分析方法,用于研究BJT放大电路在小信号条件下的放大特性。该方法基于线性化假设,将非线性的BJT放大电路近似为线性的小信号模型,以便进行分析和计算。
116 0
|
8天前
|
存储 算法 异构计算
m基于FPGA的多功能信号发生器verilog实现,包含testbench,可以调整波形类型,幅度,频率,初始相位等
使用Vivado 2019.2仿真的DDS信号发生器展示了正弦、方波、锯齿波和三角波的输出,并能调整幅度和频率。DDS技术基于高速累加器、查找表和DAC,通过频率控制字和初始相位调整产生各种波形。Verilog程序提供了一个TEST模块,包含时钟、复位、信号选择、幅度和频率控制输入,以生成不同波形。
28 18
|
10月前
|
算法 异构计算
m基于FPGA的带相位偏差QPSK调制信号相位估计和补偿算法verilog实现,包含testbench
m基于FPGA的带相位偏差QPSK调制信号相位估计和补偿算法verilog实现,包含testbench
306 0
|
9月前
|
算法 异构计算
m基于FFT傅里叶变换的256QAM基带信号频偏估计和补偿FPGA实现,含testbench和matlab星座图显示
m基于FFT傅里叶变换的256QAM基带信号频偏估计和补偿FPGA实现,含testbench和matlab星座图显示
253 0
|
9月前
|
算法 异构计算
基于FFT傅里叶变换的64QAM基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示
基于FFT傅里叶变换的64QAM基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示
289 0
|
9月前
|
算法 异构计算
基于FFT傅里叶变换的16QAM基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示
基于FFT傅里叶变换的16QAM基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示
394 0
|
9月前
|
算法 异构计算
m基于FFT傅里叶变换的QPSK基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示
m基于FFT傅里叶变换的QPSK基带信号频偏估计和补偿算法FPGA实现,包含testbench和matlab星座图显示
389 0
|
10月前
|
算法 异构计算
m基于FPGA的带相位偏差64QAM调制信号相位估计和补偿算法verilog实现,包含testbench
m基于FPGA的带相位偏差64QAM调制信号相位估计和补偿算法verilog实现,包含testbench
259 0