testbench的文件读写

简介:

reg [7:0] data_mem[0:255]; //定义一个8bit X 256的数组
initial
    begin
    $readmemh("sin.txt",data_mem); //将sin.txt中的数据读入存储器data_mem
    end

后面就可以用data_mem作为你的测试数据了。例如可以通过以下代码,将data_mem的数据送给data_out

always @(posedge clk)
begin
    if(rst)
        begin
        data_out <= 8'd0;
        i <= 8'd0;
        end
    else
        begin
        data_out <= data_mem[i]; //将存储器中的数据输出
        i <= i + 8'd1;
        end
end

以下的Verilog语句实现将信号data_out的数据写入data_out.txt文件

integer w_file;
    initial w_file = $fopen("data_out.txt");
    always @(i)
    begin
        $fdisplay(w_file,"%h",data_out);
        if(i == 8'd255)    //共写入256个数据
            $stop;
    end


目录
相关文章
|
6月前
|
存储 程序员 C语言
C文件读写
【2月更文挑战第14天】C文件读写。
29 1
|
存储 C语言
C 文件读写
C 文件读写。
43 0
|
6月前
|
存储 C语言
c文件读写
c文件读写
37 0
|
6月前
|
存储 缓存
Verilog文件读写系统任务
Verilog文件读写系统任务
|
6月前
|
存储 移动开发 Linux
C++017-C++文件读写应用
C++017-C++文件读写应用
|
存储 C++ iOS开发
70 C++ - 文件读写
70 C++ - 文件读写
56 0
24C02 读写代码,基于STM32F030
24C02 读写代码,基于STM32F030
24C02 读写代码,基于STM32F030
|
编译器 数据库 C语言
文件处理操作
文件处理操作
91 0
文件处理操作
|
存储 API
驱动开发:内核文件读写系列函数
在应用层下的文件操作只需要调用微软应用层下的`API`函数及`C库`标准函数即可,而如果在内核中读写文件则应用层的API显然是无法被使用的,内核层需要使用内核专有API,某些应用层下的API只需要增加Zw开头即可在内核中使用,例如本章要讲解的文件与目录操作相关函数,多数ARK反内核工具都具有对文件的管理功能,实现对文件或目录的基本操作功能也是非常有必要的。
272 0
|
存储 监控 测试技术
Testbench 的编写与应用
Testbench 是一种用任意语言编写的程序或模块,用于在模拟过程中执行和验证硬件模型的功能正确性。 Verilog 主要用于硬件建模(模拟),该语言包含各种资源,用于格式化,读取,存储,动态分配,比较和写入模拟数据,包括输入激励和输出结果。
160 0