soapUI 再谈SoapUI接口测试--文件组织与接口“布局”管理

简介: soapUI 再谈SoapUI接口测试--文件组织与接口“布局”管理

再谈SoapUI接口测试--文件组织与接口“布局”管理

 


 

SoapUI-Pro-x64-5.1.2_576025(含破解文件),软件下载地址:

http://pan.baidu.com/s/1kTlaIur

 

问题:

怎么用SoapUI做好接口测试?

 

解答:

我的答案是:先做好文件组织与“布局”管理的工作,为什么呢?因为这样比较不会乱,然后是用例设计(关于怎么样快速设计出高质量的用例,回头再聊吧)

 

实操:

http协议接口为例子

1、新建工作空间

说明:顾名思义,用于存储、管理不同测试项目的项目文件。

File->New Workspace->输入工作空间名称,如下

 


 

 

->新建与工作空间同名的工作目录并打开,保存工作空间xml配置文件

 

注:保存配置文件后,会自动切换到该工作空间

 

2、新建测试项目

说明:通过项目划分,便于管理

 

右键工作空间->New Project->Create empty project

 

注:

1、创建项目的入口挺多的,其它入口也可以

2、我这里为了让大家看得更明白,选择创建空项目

 

->重命名项目名称,右键项目(例中为Project1->Rename

 

 

3、新建需要测试的接口

右键项目名(例中为UserAPP)->New Rest Serivice FROM URI

 

说明:这里的URI即便是写错了也没关系,新建后可以修改

 

 

4、接口布局

基本原则

1)主机IP和端口相同的接口,以添加资源(New Resouce)方式,添加到同一个Services EndPoint下,否则新建对应的Services EndPoint 并添加到其下

 

2)仅除参数列表不相同的接口请求,以添加方法(New Method)方式,添加到同一个方法下面,否则新建对应的资源,并添加到其下

 

重命名请求->右键请求名(例中为Request1)->Rename->输入合理的新请求名称->【确定】

 

 

->添加同一方法下,不同参数、参数值不同的请求->右键方法名称(例中为GetGoodsDetail)->New Request->填写合理的请求名称->OK

 

 

 

->添加同一Service EndPoint下,的另一个接口->右键EndPoint(例中为192.168.1.174:9101)->New Resource->填写Resource Path ->OK】,如下

 

 

 

->重命名方法和请求

 

->添加不同ip和端口的接口

 

 

当然,为了方便查阅,也可以把资源名称写成中文

 

 

 

添加前它项目(例中的ShopManager)

 

 

5、保存项目

说明:保存项目,方便后续的接口测试,同时也方便其他人进行接口测试

 

目录
相关文章
|
20天前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
38 7
|
3月前
|
存储 缓存 算法
基于FPGA的图像双边滤波实现,包括tb测试文件和MATLAB辅助验证
基于FPGA的图像双边滤波实现,包括tb测试文件和MATLAB辅助验证
|
3月前
|
JSON 搜索推荐 网络协议
玩转curl指令—测试简单的HTTP接口
玩转curl指令—测试简单的HTTP接口
69 0
|
2月前
|
传感器 算法 计算机视觉
基于肤色模型和中值滤波的手部检测算法FPGA实现,包括tb测试文件和MATLAB辅助验证
该内容是关于一个基于肤色模型和中值滤波的手部检测算法的描述,包括算法的运行效果图和所使用的软件版本(matlab2022a, vivado2019.2)。算法分为肤色分割和中值滤波两步,其中肤色模型在YCbCr色彩空间定义,中值滤波用于去除噪声。提供了一段核心程序代码,用于处理图像数据并在FPGA上实现。最终,检测结果输出到"hand.txt"文件。
|
2月前
|
算法 异构计算
m基于FPGA的电子钟verilog实现,可设置闹钟,包含testbench测试文件
该文介绍了基于FPGA的电子钟设计,利用Vivado2019.2平台进行开发并展示测试结果。电子钟设计采用Verilog硬件描述语言,核心包括振荡器、分频器和计数器。时间显示为2个十进制格式,闹钟功能通过存储器和比较器实现,当当前时间等于设定时间时触发。文中给出了Verilog核心程序示例,展示了时钟信号、设置信号及输出的交互。
35 2
|
2月前
|
算法 异构计算
m基于FPGA的RS+卷积级联编译码实现,RS用IP核实现,卷积用verilog实现,包含testbench测试文件
m基于FPGA的RS+卷积级联编译码实现,RS用IP核实现,卷积用verilog实现,包含testbench测试文件
16 0
|
1天前
|
前端开发 小程序 测试技术
前端后端测试接口mork神器,Apifox使用一分钟入门
前端后端测试接口mork神器,Apifox使用一分钟入门
5 0
|
9天前
|
算法 异构计算
基于直方图的图像曝光量分析FPGA实现,包含tb测试文件和MATLAB辅助验证
该内容包括了算法的运行效果展示、软件版本信息、理论概述和核心程序代码。在正常图像中,`checkb`位于`f192b`和`f250b`之间,而多度曝光图像中`checkb`超出此范围,判断为曝光过度。使用的软件为Vivado 2019.2和MATLAB 2022a。理论依据未详细给出,但提及主要方法。提供的Verilog代码段用于处理图像数据,包括读取文件、时钟控制及图像histogram计算等,其中模块`im_hist`似乎是关键部分。
|
12天前
|
算法 TensorFlow 算法框架/工具
基于直方图的图像阈值计算和分割算法FPGA实现,包含tb测试文件和MATLAB辅助验证
这是一个关于图像处理的算法实现摘要,主要包括四部分:展示了四张算法运行的效果图;提到了使用的软件版本为VIVADO 2019.2和matlab 2022a;介绍了算法理论,即基于直方图的图像阈值分割,通过灰度直方图分布选取阈值来区分图像区域;并提供了部分Verilog代码,该代码读取图像数据,进行处理,并输出结果到"result.txt"以供MATLAB显示图像分割效果。
|
12天前
|
弹性计算 运维 Shell
测试文件是否存在
【4月更文挑战第29天】
10 1

热门文章

最新文章