《UVM实战》——导读

简介: 本节书摘来自华章社区《UVM实战》一书中的目录,作者 张 强,更多章节内容可以访问云栖社区“华章社区”公众号查看

本节书摘来自华章社区《UVM实战》一书中的目录,作者 张 强,更多章节内容可以访问云栖社区“华章社区”公众号查看


042769b3fe7ab1a02046db6a3ba9c4b4b23b70dc

目  录

前言
第1章 与UVM的第一次接触
1.1 UVM是什么
1.2 学了UVM之后能做什么
第2章 一个简单的UVM验证平台
2.1 验证平台的组成
2.2 只有driver的验证平台
2.3 为验证平台加入各个组件
2.4 UVM的终极大作:sequence
2.5 建造测试用例
第3章 UVM基础
3.1 uvm_component与uvm_object
3.2 UVM的树形结构
3.3 field automation机制

相关文章
【UVM源码学习】uvm_coreservice
【UVM源码学习】uvm_coreservice
181 0
【UVM源码学习】uvm_comparer
【UVM源码学习】uvm_comparer
412 0
【UVM源码学习】uvm_comparer
【UVM源码学习】uvm_heartbeat
【UVM源码学习】uvm_heartbeat
165 0
【UVM源码学习】uvm_heartbeat
|
测试技术 数据库 容器
【UVM源码学习】uvm_resource
【UVM源码学习】uvm_resource
131 0
【UVM源码学习】uvm_resource
【UVM源码学习】uvm_resource_specializations
【UVM源码学习】uvm_resource_specializations
66 0
|
安全
【UVM源码学习】uvm_links
【UVM源码学习】uvm_links
107 0
【UVM源码学习】uvm_links
|
存储 C语言
【UVM源码学习】uvm_misc
【UVM源码学习】uvm_misc
100 0
【UVM源码学习】uvm_misc
【UVM源码学习】uvm_barrier
【UVM源码学习】uvm_barrier
76 0
【UVM源码学习】uvm_barrier
|
存储
【UVM源码学习】uvm_queue
【UVM源码学习】uvm_queue
389 0
【UVM源码学习】uvm_recorder
【UVM源码学习】uvm_recorder
153 0
【UVM源码学习】uvm_recorder