m基于FPGA的NBDP系统ARQ单元模块的verilog实现

简介: m基于FPGA的NBDP系统ARQ单元模块的verilog实现

1.算法描述

   NBDP(窄带直接印字电报),全称Narrow-Band Direct-Printing。是GMDSS地面无线民系统中的一种重要通信技术,这个终端设备,要与MF、HF设备联接使用。

   什么是NBDP?解决这个问题先要了解一下什么是GMDSS GMDSS指Global Maritime Distress and Safety System的缩写,即全球海上遇险与安全系统。 海上安全信息播发系统(NAVTEX)是以窄带直接印字电报方式发送和自动接收海上安全信息的系统,是全球海上遇险与安全系统(GMDSS)的重要组成部分之一,其中就是NBDP。

   .NBDP和船舶SSB电台相连接可以实现船岸间、船舶间、船台间和经岸站延伸的电台或国际用户电报旺用户间的自动电传业务,还可以向某组船或所有船舶发电传信息。CCIR于1970年提出476建议,建议NBDP技术使用到水上移动业务中。经过十多年的实验和实践,该建议被多次修改,终于在1986年由CCIR提出了较完善的625建议。GMDSS要求NBDP终端设备必须符合此建议。数字选择性呼叫终端(DSC)是GMDSS地面通信系统中进行遇险报警的终端,同时它又有选择性呼叫的功能。即DSC具有遇险报警、遇险确认和遇险传播的功能,同时在常规通信中,它还有选择性呼叫、值班和船舶查询的功能。

NBDP终端的一般组成与基本原理

   MF/HF信道是典型的衰落信道,而且MF/HF波段出现了信道拥挤的现象。NBDP技术的使用在一定程度上或缓解了这个问题。具体来说NBDP技术或设备具有如下主要优点:

1.采用FSK调制(副调制),增强了在噪声中识别信号的能力。

2.采用了4B3Y检错码和ARQ,FEC等差控制方式,大大提高了数字的传输的正确性、可靠性。

3.在射频信号以100波特(码元数/秒)的低数率传输,信号占有宽带;而且整个通信过程自动化,提高了信道的利用率。

窄带直接印字电报 NBDP (Narrow Band Direct Pringting Telegraph)是国际海事组织IMO(International Maritime Organization)的无线通信技术之一,适用为中高频段MF/HF。中高频NBDP系统是船与岸,船与船之间的海上无线电通信有效终端之一。NBDP系统由船舶电台、海岸电台,ARQ单元模块组成。ARQ单元是单边带收发信机之间的主体设备。

    本研究根据软件无线电的思想,设计NDBP系统的基带2FSK调制解调模块,信源编码译码模块,信道模块,重发模块和反馈控制模块,为工程实践提供有效ARQ解决方案。通过本项目锻炼学生综合应用通信专业工程基础理论解决复杂工程问题实践能力。

2.仿真效果预览

1.png
2.png
3.png

3.verilog核心程序

            i_clk,
                i_rst,
                i_ZMin,
                //signal
                o_4B3Y,
                o_4B3Ycnt,
                o_signal,
                o_clk_div,
                //FSK mode
                o_fsk,
                o_sin1785,
                o_sin1615,
                //FSK Demode
                o_fsk1,
                o_fsk2,
                o_fsktest1,
                o_fsktest2,
                o_4B3Y2,
                o_dout
            );
input i_clk;
input i_rst;              
input        [7:0]i_ZMin;
output       [6:0]o_4B3Y;        
output       [2:0]o_4B3Ycnt;
output            o_signal;
output            o_clk_div;  
output signed[7:0]o_fsk;
output signed[7:0]o_sin1785;
output signed[7:0]o_sin1615;
 
output signed[15:0]o_fsk1;
output signed[15:0]o_fsk2;
output o_fsktest1;
output o_fsktest2;
output[6:0]o_4B3Y2;    
output[7:0]o_dout;
 
 
 
//code,7 element constant ratio code
S7ele_ratio_code S7ele_ratio_code_u (
    .i_clk    (i_clk), 
    .i_rst    (i_rst), 
    .i_ZMin   (i_ZMin), 
    .o_4B3Y   (o_4B3Y), 
     .o_4B3Ycnt(o_4B3Ycnt),
    .o_signal (o_signal), 
    .o_clk_div(o_clk_div)
    );
相关文章
|
2月前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
76 7
|
2月前
|
算法 异构计算
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
|
2月前
|
算法 异构计算
m基于FPGA的电子钟verilog实现,可设置闹钟,包含testbench测试文件
该文介绍了基于FPGA的电子钟设计,利用Vivado2019.2平台进行开发并展示测试结果。电子钟设计采用Verilog硬件描述语言,核心包括振荡器、分频器和计数器。时间显示为2个十进制格式,闹钟功能通过存储器和比较器实现,当当前时间等于设定时间时触发。文中给出了Verilog核心程序示例,展示了时钟信号、设置信号及输出的交互。
125 2
|
2月前
|
算法 5G 数据处理
m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench
m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench
65 0
|
2月前
|
算法 异构计算 索引
m基于FPGA的Hamming汉明编译码verilog实现,包含testbench测试文件,不使用IP核
m基于FPGA的Hamming汉明编译码verilog实现,包含testbench测试文件,不使用IP核
73 1
|
2月前
|
编解码 算法 异构计算
基于FPGA的NC图像质量评估verilog实现,包含testbench和MATLAB辅助验证程序
在Vivado 2019.2和Matlab 2022a中测试的图像质量评估算法展示了效果。该算法基于NC指标,衡量图像与原始图像的相似度,关注分辨率、色彩深度和失真。提供的Verilog代码段用于读取并比较两个BMP文件,计算NC值。
|
2月前
|
算法 异构计算
m基于FPGA的MPPT最大功率跟踪算法verilog实现,包含testbench
该内容包括三部分:1) 展示了Vivado 2019.2和Matlab中关于某种算法的仿真结果图像,可能与太阳能光伏系统的最大功率点跟踪(MPPT)相关。2) 简述了MPPT中的爬山法原理,通过调整光伏电池工作点以找到最大功率输出。3) 提供了一个Verilog程序模块`MPPT_test_tops`,用于测试MPPT算法,其中包含`UI_test`和`MPPT_module_U`两个子模块,处理光伏电流和电压信号。
26 1
|
3天前
|
算法 计算机视觉 异构计算
基于FPGA的图像一维FFT变换IFFT逆变换verilog实现,包含tb测试文件和MATLAB辅助验证
```markdown ## FPGA 仿真与 MATLAB 显示 - 图像处理的 FFT/IFFT FPGA 实现在 Vivado 2019.2 中仿真,结果通过 MATLAB 2022a 展示 - 核心代码片段:`Ddddddddddddddd` - 理论:FPGA 实现的一维 FFT/IFFT,加速数字信号处理,适用于高计算需求的图像应用,如压缩、滤波和识别 ```
|
2月前
|
算法 异构计算
m基于FPGA的RS+卷积级联编译码实现,RS用IP核实现,卷积用verilog实现,包含testbench测试文件
m基于FPGA的RS+卷积级联编译码实现,RS用IP核实现,卷积用verilog实现,包含testbench测试文件
28 0
|
25天前
|
算法 计算机视觉 异构计算
基于FPGA的图像直方图均衡化处理verilog实现,包含tb测试文件和MATLAB辅助验证
摘要: 在FPGA上实现了图像直方图均衡化算法,通过MATLAB2022a与Vivado2019.2进行仿真和验证。核心程序涉及灰度直方图计算、累积分布及映射变换。算法旨在提升图像全局对比度,尤其适合低对比度图像。FPGA利用可编程增益器和查表技术加速硬件处理,实现像素灰度的均匀重分布,提升视觉效果。![image preview](https://ucc.alicdn.com/pic/developer-ecology/3tnl7rfrqv6tw_a075525027db4afbb9c0529921fd0152.png)

热门文章

最新文章