FPGA采集DHT11温湿度

简介: 笔记

一. 简介


在温湿度传感器中,dht11是使用的最多的一个,在很多课设,毕设中是首选。仅需要一个IO口,就可以完成温湿度采集。在这里分享利用FPGA来完成DHT11温湿度采集的一个demo分享。

需要完整代码的可以关注微信公众号 FPGA之旅回复 DHT11-FPGA 获取


二. 温湿度采集


数据传输过程包括以下三个部分 : 采集开始,采集数据,采集结束。

采集开始,FPGA拉低数据线,然后释放,等待dht11响应。

采集数据为40bit,分别为(高到底) 8bit湿度整数位,8bit湿度小数位,8bit温度整数位,8bit温度小数位,8bit校验和。

校验和为温湿度数据之合的后8位。

采集结束,从机拉低数据线。

数据传输过程还是比较容易的,下面来详细说明一下各个部分的持续情况。


(1) 采集开始

FPGA拉低数据线最少18ms,推荐20ms。释放总线,等待从机拉低总线响应。响应接收后,总线被释放。详细过程如下。

17.png

(2) 采集数据

数据表示:数据0 : 50us的低电平加26us~28us的高电平 。数据1:50us的低电平加70us的高电平

18.png

(3) 采集结束

从机拉低总线50us。


这里的时间可以根据实际测试的结果进行调整。这很重要,不然可能会出现采集到的数据不准确的情况。


三. 代码实现


需要完整代码的可以关注微信公众号 FPGA之旅回复 DHT11-FPGA 获取

这里采用三段式状态机来实现,比较方便。由传输过程可以轻松确定整个采集过程由四个状态确定。

下面是用逻辑分析仪得到的采集结果,可以看到在S_OVER中,已经采集到了一次完整的数据。

通过计算42 + 05 + 1D + 03 = 67 (十六进制)可以确定采集到的数据是完全正确的。


19.png

module DTH11(
  input   clk,
  input   rst,
  inout   dht11,
  input   dht11_req,      //数据采集请求
  output  dht11_down,     //数据采集完成
  output[7:0] tempH,      //温度数据整数
  output[7:0] tempL,      //温度数据小数
  output[7:0] humidityH,    //温度数据整数
  output[7:0] humidityL   //温度数据小数
);
localparam  S_IDLE    = 'd0;  //空闲状态
localparam  S_START   = 'd1;  //开始采集数据
localparam  S_DATA    = 'd2;  //接收采集到的数据
localparam  S_OVER    = 'd3;  //数据采集完成

状态转移过程


S_START: 采集请求过来的时候,就进入到开始状态

S_DATA:step表示start状态中的四个部分,分别为主机拉低,从机响应开始和结束以及数据传输开始。

S_OVER:step表示接收到数据的个数,当接收到数据为40的时候,进入S_OVER状态

S_IDLE : timeCount 拉低时间结束进入S_IDLE状态。

always@(*)

always@(*)
begin
  case(state)
  S_IDLE:
    if(dht11_req == 1'b1)
      next_state <= S_START;
    else
      next_state <= S_IDLE;
  S_START:
    if(step == 'd4)
      next_state <= S_DATA;
    else
      next_state <= S_START;
  S_DATA:
    if(step == 'd40)
      next_state <= S_OVER;
    else
      next_state <= S_DATA;
  S_OVER:
    if(timeCount == Time50us)
      next_state <= S_IDLE;
    else
      next_state <= S_OVER;
  default:
    next_state <= S_IDLE;
  endcase
end

公众号:FPGA之旅

目录
相关文章
|
缓存 算法 Android开发
|
数据采集 编解码 数据处理
案例分享:Qt高频fpga采集数据压力位移速度加速度分析系统(通道配置、电压转换、采样频率、通道补偿、定时采集、距离采集,导出excel、自动XY轴、隐藏XY轴、隐藏显示通道,文件回放等等)
案例分享:Qt高频fpga采集数据压力位移速度加速度分析系统(通道配置、电压转换、采样频率、通道补偿、定时采集、距离采集,导出excel、自动XY轴、隐藏XY轴、隐藏显示通道,文件回放等等)
案例分享:Qt高频fpga采集数据压力位移速度加速度分析系统(通道配置、电压转换、采样频率、通道补偿、定时采集、距离采集,导出excel、自动XY轴、隐藏XY轴、隐藏显示通道,文件回放等等)
|
11天前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
28 7
|
3月前
|
算法 异构计算
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench

热门文章

最新文章