基于Verilog HDL与虚拟实验平台的计算机组成与CPU实验第十五章:认识RISCV

简介: 基于Verilog HDL与虚拟实验平台的计算机组成与CPU实验第十五章:认识RISCV

1多选(3分)

‏指令集是CPU中用来计算和控制计算机系统的一套指令的集合,例如:

得分/总分

A.Alpha

B.RISC-V

C.LoongArch

D.MIPS

正确答案:A、B、C、D

2多选(3分)

‏RISC(Reduced Instruction Set Computing,精简指令集)通过减少指令种类、规范指令格式和简化寻址方式,保持指令集的小而简单,使它更容易建立快速的硬件,复杂的操作由软件组合简单的操作来完成,RISC指令集例如:

得分/总分

A.MIPS

B.LoongArch

C.RISC-V

D.ARM

正确答案:A、B、C、D

3多选(3分)

‍了解RISC-V,选中描述正确的选项.

得分/总分

A.寄存器是硬件设计的基本元素,是计算机构建的砖块,当前RISC-V寄存器数为32个,以及一个程序计数器PC。

B.RISC-V指令集与X86指令集都可以支持提供3个操作数的指令。

C.RISC-V,2010 年初由加州大学伯克利分校开发

D.RISC-V简化指令系统体系结构,将X0寄存器硬连线到常数0。

正确答案:A、C、D

4多选(3分)

‏RISC-V指令系统划分为命名为I的基本体系结构(base architecture),以及几个扩展(extension)体系结构,例如:‍

得分/总分

A.A,原子操作

B.D,双精度浮点

C.F,单精度浮点

D.C,压缩指令

正确答案:A、B、C、D

5判断(2分)

‌调试指令addi x18,x0,5

‌复位后,PC的值是0;

‌从指令存储器的DO观察到数据00500913H;

‌该条指令使得寄存器堆的RA1=0,因此RD1读出寄存器x0的值,参与ALU运算;

‌该条指令使得立即数生成模块给ALU提供运算数00000005H;

‌对该条指令的译码产生控制信号JUBSI,ImmToALU,RegWrite;‌

‌该条指令使得WA=12H,即十进制的18;

‌最后,ALU的运算结果00000005H保存在x18寄存器中,NextPC=PC+4。

得分/总分

A.√

B.×

正确答案:A

6判断(2分)

‍微单步继续执行指令 sw x18,8(x0);‍

‍从指令存储器的DO观察到数据01202423H;

‍该条指令使得寄存器堆的RA2=12H,因此RD2读出寄存器x12的值,参与ALU运算;

‍该条指令使得立即数生成模块给ALU提供运算数00000008H;

‍对该条指令的译码产生控制信号JUBSI,ImmToALU,MemWrite;

‍ALU的运算结果00000008H用来给数据存储器提供地址;

‍最后,从RD2读出的寄存器的内容,保存在数据存储器的00000008H地址中,NextPC=PC+4。

得分/总分

A.×

B.√

正确答案:A

7判断(2分)

‌微单步继续执行指令 lw x19,8(x0);

‌从指令存储器的DO观察到数据00802983H;

‌该条指令使得寄存器堆的RA1=0,因此RD1读出寄存器x0的值,参与ALU运算;

‌该条指令使得立即数生成模块给ALU提供运算数00000008H;

‌对该条指令的译码产生控制信号JUBSI,ImmToALU,RegWrite,MemToReg;

‌ALU的运算结果00000008H用来给数据存储器提供地址;

‌该条指令使得WA=13H,即十进制的19;

‌最后,数据存储器00000008H地址中的数据,保存在x19寄存器中,NextPC=PC+4。‏

得分/总分

A.√

B.×

正确答案:A

8判断(2分)

‎微单步继续执行指令 or x20,x18, x19

‎从指令存储器的DO观察到数据01396a33H,

‎该条指令使得寄存器堆的RA1=12,因此RD1读出寄存器x18的值,参与ALU运算,

‎该条指令使得寄存器堆的RA2=13,因此RD2读出寄存器x19的值,参与ALU运算,

‎对该条指令的译码产生控制信号ALUctrl , ImmToALU, RegWrite;

‎该条指令使得WA=14H,即十进制的20;

‎最后,ALU的运算结果00000005H,保存在x20寄存器中,NextPC=PC+4。

得分/总分

A.√

B.×

正确答案:B

9判断(2分)

‎微单步继续执行指令 beq x19,x20,-16;

‎从指令存储器的DO观察到数据ff4988e3;

‎该条指令使得立即数生成模块产生立即数FFFFFFF0,即-16;

‎该条指令使得寄存器堆的RA1=13,因此RD1读出寄存器x19的值,参与ALU运算;

‎该条指令使得寄存器堆的RA2=14,因此RD2读出寄存器x20的值,参与ALU运算;

‎ALU运算产生标志位Zero=1

‎对该条指令的译码产生控制信号JUBSI,ALUctrl,PCjump;

‎最后,ALU的运算结果00000005H,保存在x20寄存器中,NextPC=PC+4。

得分/总分

A.√

B.×

正确答案:B

10填空(2分)

‍指令addi x18,x0,5是________(R/I/S/U/B/J)型指令,十六进制机器码值是________(例如 R#00000000)。

得分/总分

I#00500913

2.00/2.00

正确答案:I#00500913

11填空(2分)

‏指令sw x18,8(x0)(R/I/S/U/B/J)型指令,十六进制机器码值是(例如 R#00000000)。

得分/总分

S#01202423

2.00/2.00

正确答案:S#01202423

12填空(2分)

指令lw x19,8(x0)(R/I/S/U/B/J)型指令,十六进制机器码值是(例如 R#00000000)。

得分/总分

S#00802983

2.00/2.00

正确答案:S#00802983

13填空(2分)

‍指令or x20,x18,x19________(R/I/S/U/B/J)型指令,十六进制机器码值是________(例如 R#00000000)。

得分/总分

R#01396a33

2.00/2.00

正确答案:R#01396a33

14填空(2分)

指令beq x10,x20,-16________(R/I/S/U/B/J)型指令,十六进制机器码值是________(例如 R#00000000)。

得分/总分

B#FF4988E3

2.00/2.00

正确答案:B#ff4988e3


目录
相关文章
|
7月前
|
人工智能 并行计算 openCL
魔搭+Xinference 平台:CPU,GPU,Mac-M1多端大模型部署
随着 Llama2 的开源,以及通义千问、百川、智谱等国内大模型的问世,很多用户有了本地部署去尝试大模型的需求,然而硬件的需求阻碍了很多人的尝试,并不是所有人都拥有一块英伟达显卡的,所以 Llama2 问世不久,大神 Andrej Karpathy 的一个 weekend project 爆火——llama2.c。
魔搭+Xinference 平台:CPU,GPU,Mac-M1多端大模型部署
|
15天前
|
机器学习/深度学习 人工智能 TensorFlow
人工智能平台PAI产品使用合集之如何在CPU服务器上使用PAIEasyRec进行分布式训练
阿里云人工智能平台PAI是一个功能强大、易于使用的AI开发平台,旨在降低AI开发门槛,加速创新,助力企业和开发者高效构建、部署和管理人工智能应用。其中包含了一系列相互协同的产品与服务,共同构成一个完整的人工智能开发与应用生态系统。以下是对PAI产品使用合集的概述,涵盖数据处理、模型开发、训练加速、模型部署及管理等多个环节。
|
10月前
|
Ubuntu 网络虚拟化 Windows
国产化之虚拟ARM64-CPU安装银河麒麟操作系统
国产化之虚拟ARM64-CPU安装银河麒麟操作系统
883 0
|
12月前
|
前端开发 rax
实验一:查看CPU和内存,用机器指令和汇编指令编程
实验一:查看CPU和内存,用机器指令和汇编指令编程
158 0
|
弹性计算 固态存储
阿里云服务器的vCPU是什么意思?虚拟CPU?
阿里云服务器的vCPU是什么意思?虚拟CPU?阿里云服务器vCPU和CPU是什么意思?CPU和vCPU有什么区别?一台云服务器ECS实例的CPU选项由CPU物理核心数和每核线程数决定,CPU是中央处理器,一个CPU可以包含若干个物理核,通过超线程HT(Hyper-Threading)技术可以将一个物理核变成两个逻辑处理核。vCPU(virtual CPU)是ECS实例的虚拟处理核。云服务器吧来详细说下阿里云服务器CPU和vCPU的区别:
1339 0
|
存储
ICS TRIPLEX T8461 创建额外的虚拟或逻辑CPU
ICS TRIPLEX T8461 创建额外的虚拟或逻辑CPU
76 0
ICS TRIPLEX T8461 创建额外的虚拟或逻辑CPU
基于Verilog HDL与虚拟实验平台的计算机组成与CPU实验第十四章:硬布线控制器
基于Verilog HDL与虚拟实验平台的计算机组成与CPU实验第十四章:硬布线控制器
106 0
|
18天前
|
Linux
Linux rsyslog占用内存CPU过高解决办法
该文档描述了`rsyslog`占用内存过高的问题及其解决方案。
41 4
|
1月前
|
移动开发 运维 监控
掌握Linux运维利器:查看CPU和内存占用,轻松解决性能问题!
掌握Linux运维利器:查看CPU和内存占用,轻松解决性能问题!
|
1月前
|
监控 Python
【python】实现cpu/内存监控的功能(非常简单)
【python】实现cpu/内存监控的功能(非常简单)