基于Verilog HDL与虚拟实验平台的计算机组成与CPU实验第四章:七段译码器

简介: 基于Verilog HDL与虚拟实验平台的计算机组成与CPU实验第四章:七段译码器

1单选(2分)

2-4译码器有____位输入,位输出,在输出的所有位中,只有其中1位有效,通常在计算机里用作, 有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。

A.2,4,地址译码器

B.2,4,地址编码器

C.4,2,地址译码器

D.4,2,地址编码器

正确答案:A

2单选(2分)

‎4-2编码器有____位输入,位输出,例如在计算机里用于响应中断请求的,可以用if-else, ____等语句描述。

A.

4,2,BCD编码器,case

B.

4,2,优先级编码器,casez

C.

2,4,BCD编码器,case

D.

2,4,优先级编码器,case

正确答案:B

3填空(2分)

‍具有“翻译”功能的任意编码转换器有时候也称为译码器,这种电路能将输入二进制代码的各种状态,翻译成对应的输出信号。七段译码器是一种将输入转换为七段数码显示码输出的编码转换器,写出显示数字2,8,P,L的段码,例如,0的七段显示码为 0111111,从左到右分别表示七段数码管的g,f,e,d,c,b,a。

2的七段显示码为______;

得分/总分

1011011

正确答案:1011011

4填空(2分)

‍例如,0的七段显示码为 0111111,从左到右分别表示七段数码管的g,f,e,d,c,b,a,

8的七段显示码为______.‏

得分/总分

1111111

2.00/2.00

正确答案:1111111

5填空(2分)

‏例如,0的七段显示码为 0111111,从左到右分别表示七段数码管的g,f,e,d,c,b,a,

P的七段显示码为______.

得分/总分

1110011

2.00/2.00

正确答案:1110011

6填空(2分)

‌例如,0的七段显示码为 0111111,从左到右分别表示七段数码管的g,f,e,d,c,b,a,

L的七段显示码为______。‍

得分/总分

0111000

2.00/2.00

正确答案:0111000

7填空(2分)

‍用Verilog HDL 参数化设计的方法描述一个SIZE位的三态缓冲器

module Buffer # (parameter SIZE=4) 
 ( output [SIZE-1:0] Dout, 
input[SIZE-1:0] Din, 
  input En ); 
  assign Dout = En ? Din : ________; 
 endmodule

得分/总分

正确答案:{SIZE{1’bz}}

8填空(2分)

‌使用名称映射方式,将上题中的三态缓冲器Buffer在TOP模块中进行实例化

module TOP 
 ( output [7:0] out, 
 input [7:0] F, 
  input en ); 
  Buffer #(.SIZE(____)) buf (.En(____),.Din(____),.Dout(____)); 
 endmodule 

注意,答案之间用#隔开,例如1#en#out#F

得分/总分

8#en#F#out

正确答案:8#en#F#out

实验代码

`default_nettype none 
module SevenSegDecode
(input logic [3:0] iData,
output logic [7:0] oSeg
);
always_comb
 begin 
  case(iData)
   4'h0:oSeg=8'b11000000;
  4'h1:oSeg=8'b11111001;
  4'h2:oSeg=8'b10100100;
  4'h3:oSeg=8'b10110000;
  4'h4:oSeg=8'b10011001;
  4'h5:oSeg=8'b10010010;
  4'h6:oSeg=8'b10000010;
  4'h7:oSeg=8'b11111000;
  4'h8:oSeg=8'b10000000;
  4'h9:oSeg=8'b10010000;
  4'hA:oSeg=8'b10001000;
  4'hB:oSeg=8'b10000011;
  4'hC:oSeg=8'b11000110;
  4'hD:oSeg=8'b10100001;
  4'hE:oSeg=8'b10000110;
  4'hF:oSeg=8'b10001110;
default:oSeg=8'bx;
endcase
end
endmodule


目录
相关文章
|
8月前
|
人工智能 并行计算 openCL
魔搭+Xinference 平台:CPU,GPU,Mac-M1多端大模型部署
随着 Llama2 的开源,以及通义千问、百川、智谱等国内大模型的问世,很多用户有了本地部署去尝试大模型的需求,然而硬件的需求阻碍了很多人的尝试,并不是所有人都拥有一块英伟达显卡的,所以 Llama2 问世不久,大神 Andrej Karpathy 的一个 weekend project 爆火——llama2.c。
魔搭+Xinference 平台:CPU,GPU,Mac-M1多端大模型部署
|
7天前
汇编语言(第四版) 实验一 查看CPU和内存,用机器指令和汇编指令编程
汇编语言(第四版) 实验一 查看CPU和内存,用机器指令和汇编指令编程
|
1月前
|
机器学习/深度学习 人工智能 TensorFlow
人工智能平台PAI产品使用合集之如何在CPU服务器上使用PAIEasyRec进行分布式训练
阿里云人工智能平台PAI是一个功能强大、易于使用的AI开发平台,旨在降低AI开发门槛,加速创新,助力企业和开发者高效构建、部署和管理人工智能应用。其中包含了一系列相互协同的产品与服务,共同构成一个完整的人工智能开发与应用生态系统。以下是对PAI产品使用合集的概述,涵盖数据处理、模型开发、训练加速、模型部署及管理等多个环节。
|
11月前
|
Ubuntu 网络虚拟化 Windows
国产化之虚拟ARM64-CPU安装银河麒麟操作系统
国产化之虚拟ARM64-CPU安装银河麒麟操作系统
950 0
|
前端开发 rax
实验一:查看CPU和内存,用机器指令和汇编指令编程
实验一:查看CPU和内存,用机器指令和汇编指令编程
167 0
|
弹性计算 固态存储
阿里云服务器的vCPU是什么意思?虚拟CPU?
阿里云服务器的vCPU是什么意思?虚拟CPU?阿里云服务器vCPU和CPU是什么意思?CPU和vCPU有什么区别?一台云服务器ECS实例的CPU选项由CPU物理核心数和每核线程数决定,CPU是中央处理器,一个CPU可以包含若干个物理核,通过超线程HT(Hyper-Threading)技术可以将一个物理核变成两个逻辑处理核。vCPU(virtual CPU)是ECS实例的虚拟处理核。云服务器吧来详细说下阿里云服务器CPU和vCPU的区别:
1505 0
|
存储
ICS TRIPLEX T8461 创建额外的虚拟或逻辑CPU
ICS TRIPLEX T8461 创建额外的虚拟或逻辑CPU
79 0
ICS TRIPLEX T8461 创建额外的虚拟或逻辑CPU
基于Verilog HDL与虚拟实验平台的计算机组成与CPU实验第十五章:认识RISCV
基于Verilog HDL与虚拟实验平台的计算机组成与CPU实验第十五章:认识RISCV
254 0
|
1月前
|
Linux
Linux rsyslog占用内存CPU过高解决办法
该文档描述了`rsyslog`占用内存过高的问题及其解决方案。
92 4
|
1月前
|
机器学习/深度学习 缓存 监控
linux查看CPU、内存、网络、磁盘IO命令
`Linux`系统中,使用`top`命令查看CPU状态,要查看CPU详细信息,可利用`cat /proc/cpuinfo`相关命令。`free`命令用于查看内存使用情况。网络相关命令包括`ifconfig`(查看网卡状态)、`ifdown/ifup`(禁用/启用网卡)、`netstat`(列出网络连接,如`-tuln`组合)以及`nslookup`、`ping`、`telnet`、`traceroute`等。磁盘IO方面,`iostat`(如`-k -p ALL`)显示磁盘IO统计,`iotop`(如`-o -d 1`)则用于查看磁盘IO瓶颈。
147 10