江苏大学 数字逻辑电路设计/数字电路 期末/考研 复习题

简介: 江苏大学 数字逻辑电路设计/数字电路 期末/考研 复习题

一、填空题(1*15分)


image.png


二、选择题(2*5分)

1. 下列器件中,不属于组合逻辑电路的是(___)

A编码器 B译码器 C移位寄存器 D多路选择器

2. 下列PLD器件中与阵列和或阵列都可编程的是(___)

A.PLA B.PAL C.普通型GAL D.PROM

3. 关于逻辑函数(A,B,C)=AB+AC+BC的竞争与险象说法正确的是(___)

A变量B存在竞争条件

B变量A存在竞争条件,但不会产生险象

C变量C存在竞争条件

D变量A存在竞争条件,会产生0型险象

4. 以下关于VHDL语言的描述不正确的是(___)

A.完整的VHDL描述包含库、程序包、实体、结构体、配置五个部分,但仅有实体和结构体是必需的。

B.实体部分仅描述电路的对外接口,不描述电路的具体功能。

C.结构体部分用于描述电路的具体功能,结构体与实体存在一一对应关系。

D.结构体有数据流描述、行为描述、结构描述和混合描述4种描述方法。

5. JK触发器按图方式连接,其次态方程为(___)


image.png

三、化简(2*8分)


image.png

四、计数器(2*8分)

分析如图的可变进制计数器,完成下列问题

1.试分析当控制变量A为0和1时电路实现的各式多少进制计数器,要求给出分析过程。

2.用74LS161设计一个可逆计数器,当控制变量A=0时按6进制计数,A=1时按12进制计数。

五、组合逻辑(5*4分)

分析图示组合逻辑电路,说明其实现功能

1.写出电路的输出表达式,说明其实现的功能

2.用74LS138译码器和与非门实现该电路功能。

3.用四路MUX实现该电路的功能,选择A、B接选择器的地址端。

4.用PLA实现该电路功能,画出阵列图。

六、时序逻辑(15分)


image.png

七、VHDL(8分)

用VHDL语言描述下图所示的状态图,请将程序中的状态转移进程和输出进程补充完整。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity sequence_detector is
  port(clk,x,RD:in std_logic;
    Z:out std_logic);
end sequence_detector;
architecture one of sequence_detector is
type state_type is (A,B,C);
signal state:state_type;
begin
  process (clk,RD)
  begin

.

.

.

…把状态转移进程补充完整。

end process;
output_p:process(state)
  begin

.

.

.

…把输出转移进程补充完整

end process;
end one;


目录
相关文章
|
机器学习/深度学习 缓存 网络协议
江苏大学 计算机网络 期末/考研复试 复习(二)
江苏大学 计算机网络 期末/考研复试 复习
130 0
江苏大学 计算机网络 期末/考研复试 复习(二)
|
机器学习/深度学习 缓存 算法
江苏大学 计算机网络 期末/考研复试 复习(一)
江苏大学 计算机网络 期末/考研复试 复习
229 0
江苏大学 计算机网络 期末/考研复试 复习(一)
|
算法 安全 调度
江苏大学 操作系统 期末/考研复试大题复习
江苏大学 操作系统 期末/考研复试大题复习
317 0
江苏大学 操作系统 期末/考研复试大题复习
|
算法
江苏大学 程序设计与算法/算法设计与分析/数据结构与算法/程序设计与数据结构 期末/考研复试复习
江苏大学 程序设计与算法/算法设计与分析/数据结构与算法/程序设计与数据结构 期末/考研复试复习
152 0
江苏大学 程序设计与算法/算法设计与分析/数据结构与算法/程序设计与数据结构 期末/考研复试复习
|
8月前
|
存储 算法 调度
【考研必备】解开“黑匣子”的神秘面纱,透视数字世界底层实现过程(计算机组成原理)(下)
【考研必备】解开“黑匣子”的神秘面纱,透视数字世界底层实现过程(计算机组成原理)
|
存储 固态存储 程序员
考研计算机组成原理总结(5)
考研计算机组成原理总结(5)
436 0
|
11天前
|
存储 知识图谱
【计算机组成原理】指令系统&考研真题详解之拓展操作码!
也就是说 “其中三地址指令29”条这句话,完全可以翻译成“三地址这种类型的指令一共能有29种不同的可能性” 这样说就清晰多 因为这就意味着 我们需要用若干个字节 来表示这29种不同的可能性 然后又已知每一个字节位能表示的可能性是2种(0/1),那么我们想有多少个字节可以表示29种不同的可能呢?最少5种 (因为2的4次方=16<29),2^5=32>29,也就是说有32-29=3种可能性是不在三地址指令这种类型的指令集里面的,所以这3 种余出来的可能性要被利用 就在下一种 “二地址指令集”中利用到
16 0
|
25天前
计算机网络——物理层相关习题(计算机专业考研全国统考历年真题)
计算机网络——物理层相关习题(计算机专业考研全国统考历年真题)
17 0
|
8月前
|
存储 安全 网络安全
【考研必备二】解开“黑匣子”的神秘面纱,透视数字世界底层实现过程(计算机组成原理)(下)
【考研必备二】解开“黑匣子”的神秘面纱,透视数字世界底层实现过程(计算机组成原理)
|
8月前
|
存储 Unix Linux
【考研必备二】解开“黑匣子”的神秘面纱,透视数字世界底层实现过程(计算机组成原理)(上)
【考研必备二】解开“黑匣子”的神秘面纱,透视数字世界底层实现过程(计算机组成原理)(上)
【考研必备二】解开“黑匣子”的神秘面纱,透视数字世界底层实现过程(计算机组成原理)(上)

热门文章

最新文章