xilinx小实验——vivado纯逻辑编程第一个demo

简介: xilinx小实验——vivado纯逻辑编程第一个demo

vivado版本为2016.4,开发板为zynq,FPGA为xc7z010clg400-2


1.打开vivado至初始页面,如果新建工程则点击create new project,打开工程则点击open project,与ISE基本一致

7236973a71b4a6083bcbb7bb69c32e80_SouthEast.png


2.点击create new project,选择名称和路径,next

2883534ddd471a0d4d48c89db559132d_SouthEast.png


3.选择工程类型,选择的是RTL,并且选择不在此时加入.v文件

4659c448a10c80f977ce0f0edc384b14_SouthEast.png


4.选择FPGA型号

99c27ff73c3ef19b0ce0d1a64b8cd4f5_SouthEast.png


5.之后进入工作界面

f36ab26fffffa98357b564d530546ed9_SouthEast.png

Flow Navigator:流程引导,包括在设计中的流程如仿真分析综合实现产生bit流等;

Sources: 工程源文件,报错工程文件约束文件仿真文件等

Source File Properties:对象的属性

Project Summary:工程信息,排列文件显示区域

Design Runs:显示操作工程中的提示信息、警告和错误,也包含tcl命令台


点击project setting可以对工程进行修改

17fde5c4539d39c24c327d8a1b31a276_SouthEast.png


6.加入源文件,点击project manager里source的“文件+”,加入文件

ba10d2081d7b72539da95b25317b61e3_SouthEast.png


可加入的文件主要分为以下几个类别:

create constraints:约束文件

create design sources:设计文件

create simulation sources:仿真文件

create DSP sources:DSP

block design sources:这个没用过

exiting IP:已经存在的IP核

dc4bca22b4da1ee2f8e24bd939748008_SouthEast.png


加入设计文件和仿真文件


7.仿真波形,点击左侧的run simulation即可看到仿真波形

5fc02e7e80de4d080f787a6e3d97a8b0_SouthEast.png


8.加入约束文件

对于本次工程只需要加入io管脚约束,ISE中使用的是ucf文件而vivado使用的是xdc文件

简单而言可以将ucf中的

NET "out"           LOC = M14  | IOSTANDARD=LVCMOS33;

转化为如下形式

set_property PACKAGE_PIN M14 [get_ports out]
set_property IOSTANDARD LVCMOS33 [get_ports out]


完成xdc文件后添加进工程

82443a34a7cf09e7361463e8df6f9104_SouthEast.png


9.接下来可以一步一步的综合实现也可以直接点击产生码流,分步的话容易查错。

直接点击generate bitstream等待完成,之后修改错误直至成功产生

6251e25aa93ceab0b4c101a7f3b98f93_SouthEast.png


查看报告

33ad898c8f433f827312cff8adae8f8f_SouthEast.png


10.烧录文件,点击工具栏flow中的open hardware manager进入烧录界面,连接开发板后点击open target自动连接,即可找到开发板

7deb3a5c3a210830b8d074abed612ec3_SouthEast.png


11.在开发板上右键program device即可烧写文件观察效果。

6ee6d147bb48ec9f4a82e1140a597b5f_SouthEast.png


相关文章
|
9月前
|
算法 异构计算
m基于FPGA的OFDM系统中降PAPR技术的实现,包含testbench测试文件和MATLAB辅助测试
m基于FPGA的OFDM系统中降PAPR技术的实现,包含testbench测试文件和MATLAB辅助测试
56 0
|
28天前
|
算法 计算机视觉 异构计算
基于FPGA的图像直方图均衡化处理verilog实现,包含tb测试文件和MATLAB辅助验证
摘要: 在FPGA上实现了图像直方图均衡化算法,通过MATLAB2022a与Vivado2019.2进行仿真和验证。核心程序涉及灰度直方图计算、累积分布及映射变换。算法旨在提升图像全局对比度,尤其适合低对比度图像。FPGA利用可编程增益器和查表技术加速硬件处理,实现像素灰度的均匀重分布,提升视觉效果。![image preview](https://ucc.alicdn.com/pic/developer-ecology/3tnl7rfrqv6tw_a075525027db4afbb9c0529921fd0152.png)
|
2月前
|
C++
【51单片机】添加模块代码的常见问题(图示&代码演示)
【51单片机】添加模块代码的常见问题(图示&代码演示)
|
2月前
|
算法 异构计算
m基于FPGA的Alamouti编码verilog实现,包含testbench测试文件
m基于FPGA的Alamouti编码verilog实现,包含testbench测试文件
50 5
|
8月前
|
异构计算
【FPGA】基本实验步骤演示 | Verilog编码 | 运行合成 | 设备/引脚分配 | 综合/实施 | 设备配置 | 以最简单的逻辑非为例
【FPGA】基本实验步骤演示 | Verilog编码 | 运行合成 | 设备/引脚分配 | 综合/实施 | 设备配置 | 以最简单的逻辑非为例
64 0
|
编译器 异构计算
altera小实验——第一个demo指导书
altera小实验——第一个demo指导书
altera小实验——第一个demo指导书
|
芯片
74HC595芯片的IO扩展(串转并)实验(包含硬件原理和软件编程解析和代码)
74HC595芯片的IO扩展(串转并)实验(包含硬件原理和软件编程解析和代码)
296 1
74HC595芯片的IO扩展(串转并)实验(包含硬件原理和软件编程解析和代码)
|
异构计算
基于Verilog HDL与虚拟实验平台的计算机组成与CPU实验第二章:FPGA验证流程与远程实验平台
基于Verilog HDL与虚拟实验平台的计算机组成与CPU实验第二章:FPGA验证流程与远程实验平台
81 0
|
监控 C语言 Perl
西门子S7-1200编程实例,基本位逻辑指令如何使用?
今天我们来介绍一下西门子S7-1200基本位逻辑指令,通过一个简单的起保停控制实例来学习基本位逻辑指令如何使用。
西门子S7-1200编程实例,基本位逻辑指令如何使用?
|
C语言 Perl
西门子S7-200 SMART位逻辑指令概述及应用举例
本篇文章我来带领大家学习西门子S7-200 SMART的位逻辑指令。位逻辑指令是PLC编程中最基本、使用最频繁的指令,按不同的功能和用途具有不同的形式,总的来说可以分为下述几大类:标准位逻辑指令、置位/复位指令、立即位逻辑指令、其他位逻辑指令。
西门子S7-200 SMART位逻辑指令概述及应用举例

热门文章

最新文章