FPGA新起点V1开发板(五)——Modelsim软件的使用(联合仿真

简介: FPGA新起点V1开发板(五)——Modelsim软件的使用(联合仿真

一、简介


0a2653c851af460fa595bd959398a8f1.png


1.1 前仿真


0eacb84100b54626af849e6b562bf92a.png

主要是用于验证代码的功能是否正确,不考虑电路的延迟


1.2 后仿真


2d65d23f6d4748949b924e4057485923.png


二、联合仿真


2.1 选择modesim的安装路径


0a2653c851af460fa595bd959398a8f1.png


2.2 设置选择eda的工具


0eacb84100b54626af849e6b562bf92a.png2d65d23f6d4748949b924e4057485923.png

已经关联好


2.3 test bench文件


为顶层文件提供激励,如系统时钟和复位信号,quartes里面有模板

2e9b90b2ca334476abebe75bafe6eeaa.png


2.4 打开test bench文件


根据下面的路径

0a2653c851af460fa595bd959398a8f1.png

通过file的open


0eacb84100b54626af849e6b562bf92a.png2d65d23f6d4748949b924e4057485923.png


2.5 给系统时钟和复位信号赋初值


timescale 1 ps/ 1 ps,前面是仿真的单位后面是仿真的精度,修改成ns


initial                                                
begin                                                  
    sys_clk = 1'b0;  //将系统时钟赋为0
    sys_rst_n = 1'b0; //将复位信号赋为0
    #100 sys_rst_n = 1'b1; //延时100ns后,复位信号拉高
    #1000 $stop;//增加停止条件,运行1微秒后停止
end
//产生时钟的翻转信号,系统时钟是50MHz,则周期是20ns,则每延时10ns系统时钟翻转一次                                                    
always #10 sys_clk = ~sys_clk;                                           
endmodule

0a2653c851af460fa595bd959398a8f1.png


2.6 配置仿真功能


0eacb84100b54626af849e6b562bf92a.png

复制test bench的文件名


2d65d23f6d4748949b924e4057485923.png2e9b90b2ca334476abebe75bafe6eeaa.png


三、RTL仿真


0a2653c851af460fa595bd959398a8f1.png

RTL功能仿真

0eacb84100b54626af849e6b562bf92a.png


3.1 打开波形窗口


2d65d23f6d4748949b924e4057485923.png2e9b90b2ca334476abebe75bafe6eeaa.png4cebaac233b3433da32a72337a77fc60.png


点击最下面,可隐藏路径


0a2653c851af460fa595bd959398a8f1.png


右边是几进制显示,这里选择二进制


0eacb84100b54626af849e6b562bf92a.png2d65d23f6d4748949b924e4057485923.png


3.2添加内部信号


0a2653c851af460fa595bd959398a8f1.png0eacb84100b54626af849e6b562bf92a.png2d65d23f6d4748949b924e4057485923.png


可以看出count是11次


四、时序仿真


需要编译


0a2653c851af460fa595bd959398a8f1.png0eacb84100b54626af849e6b562bf92a.png2d65d23f6d4748949b924e4057485923.png


直接run

2e9b90b2ca334476abebe75bafe6eeaa.png

有一点误差


相关文章
|
2月前
|
芯片 异构计算
FPGA——modelsim上R型指令的仿真
FPGA——modelsim上R型指令的仿真
|
9月前
|
存储 算法 芯片
星嵌OMAPL138+国产FPGA工业开发板 中科亿海微 EQ6HL45系列FPGA 替代spartan-6
OMAPL138+FPGA工业开发板TI ARM9+C674x DSP 中科亿海微国产FPGA EQ6HL45LL-2CSG324G,基于OMAPL138+国产FPGA的DSP+ARM+FPGA三核评估套件。
|
9月前
|
算法 异构计算
m基于FPGA的GFDM调制解调系统verilog实现,包含testbench仿真测试文件
m基于FPGA的GFDM调制解调系统verilog实现,包含testbench仿真测试文件
42 0
|
10月前
|
测试技术 异构计算
Xines广州星嵌全新FPGA开发板—OMAPL138/C6748 DSP+ARM+FPGA
基于广州星嵌TI OMAP-L138(浮点DSP C6748+ARM9) +Xilinx Spartan-6 FPGA工业核心板
|
10月前
|
算法 计算机视觉 异构计算
FPGA图像处理(高斯滤波)仿真篇
高斯滤波是一种低通平滑滤波,常用于模糊处理和减少噪声信号,其中模糊处理常用于预处理,即在提取目标之前去除图像中的一些细节等,这有利于高通处理。对于二维的数字图像信号,一般通过线性滤波器和非线性滤波器的模糊处理来减少强噪声信号。平滑滤波器就是用滤波掩模确定的邻域内的像素与加权值相卷积后得到的灰度均值来代替每个像素的值,这就很容易使用硬件实现。 GAUSS 滤波算法克服了边界效应,因而滤波后的图像较好。
|
算法 网络协议 安全
m基于DE2-115开发板的网口UDP数据收发系统FPGA实现
m基于DE2-115开发板的网口UDP数据收发系统FPGA实现
241 0
|
2月前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
76 7
|
2月前
|
算法 异构计算
m基于FPGA的电子钟verilog实现,可设置闹钟,包含testbench测试文件
该文介绍了基于FPGA的电子钟设计,利用Vivado2019.2平台进行开发并展示测试结果。电子钟设计采用Verilog硬件描述语言,核心包括振荡器、分频器和计数器。时间显示为2个十进制格式,闹钟功能通过存储器和比较器实现,当当前时间等于设定时间时触发。文中给出了Verilog核心程序示例,展示了时钟信号、设置信号及输出的交互。
125 2
|
2月前
|
编解码 算法 异构计算
基于FPGA的NC图像质量评估verilog实现,包含testbench和MATLAB辅助验证程序
在Vivado 2019.2和Matlab 2022a中测试的图像质量评估算法展示了效果。该算法基于NC指标,衡量图像与原始图像的相似度,关注分辨率、色彩深度和失真。提供的Verilog代码段用于读取并比较两个BMP文件,计算NC值。
|
2月前
|
算法 异构计算
m基于FPGA的MPPT最大功率跟踪算法verilog实现,包含testbench
该内容包括三部分:1) 展示了Vivado 2019.2和Matlab中关于某种算法的仿真结果图像,可能与太阳能光伏系统的最大功率点跟踪(MPPT)相关。2) 简述了MPPT中的爬山法原理,通过调整光伏电池工作点以找到最大功率输出。3) 提供了一个Verilog程序模块`MPPT_test_tops`,用于测试MPPT算法,其中包含`UI_test`和`MPPT_module_U`两个子模块,处理光伏电流和电压信号。
26 1

热门文章

最新文章