FPGA新起点V1开发板(三)——Quartus II软件的使用(流水灯的烧录以及sof转jic的方法记录)(上)

简介: FPGA新起点V1开发板(三)——Quartus II软件的使用(流水灯的烧录以及sof转jic的方法记录)(上)

一、开发流程


0a2653c851af460fa595bd959398a8f1.png


二、新建文件夹(不许出现中文路径)


先创建一个工程文件夹flow_led再创建四个子文件夹

0eacb84100b54626af849e6b562bf92a.png

doc存放工程的设计文档或者其他一些datasheet文档、数据手册

par存放工程文件

rtl存放设计文件,也就代码

sim存放工程的仿真文件


第一个第四个可以为空,但是做此可以有良好的习惯


三、新建工程


0a2653c851af460fa595bd959398a8f1.png

这是打开一个工程向导


0eacb84100b54626af849e6b562bf92a.png2d65d23f6d4748949b924e4057485923.png2e9b90b2ca334476abebe75bafe6eeaa.png


这是选择FPGA的芯片型号的

0a2653c851af460fa595bd959398a8f1.png

选择第三方EDA的工具的


0eacb84100b54626af849e6b562bf92a.png2d65d23f6d4748949b924e4057485923.png


最后的信息确认


2e9b90b2ca334476abebe75bafe6eeaa.png


三、添加设计文件


4cebaac233b3433da32a72337a77fc60.png

6de278e6d6694ce5bb08e7e842b7e74b.png


选择Verilog语言进行编写


0a2653c851af460fa595bd959398a8f1.png


四、配置工程


咳咳上面应该才是配置工程,三和四写反了

找一个流水灯的代码

0eacb84100b54626af849e6b562bf92a.png


module flow_led(
    input               sys_clk  ,  //系统时钟
    input               sys_rst_n,  //系统复位,低电平有效
    output  reg  [3:0]  led         //4个LED灯
    );
//reg define
reg [23:0] counter;
//*****************************************************
//**                    main code
//***************************************************** 
//计数器对系统时钟计数,计时0.2秒
always @(posedge sys_clk or negedge sys_rst_n) begin
    if (!sys_rst_n)
        counter <= 24'd0;
    else if (counter < 24'd1000_0000)
        counter <= counter + 1'b1;
    else
        counter <= 24'd0;
end
//通过移位寄存器控制IO口的高低电平,从而改变LED的显示状态
always @(posedge sys_clk or negedge sys_rst_n) begin
    if (!sys_rst_n)
        led <= 4'b0001;
    else if(counter == 24'd1000_0000) 
        led[3:0] <= {led[2:0],led[3]};
    else
        led <= led;
end
endmodule

0a2653c851af460fa595bd959398a8f1.png

ctrl+s保存到rtl文件夹下


0eacb84100b54626af849e6b562bf92a.png


五、分析与综合


2d65d23f6d4748949b924e4057485923.png2e9b90b2ca334476abebe75bafe6eeaa.png



六、分配引脚


0a2653c851af460fa595bd959398a8f1.png

点击的是…/rtl/flow_led.v这个代码页面


0eacb84100b54626af849e6b562bf92a.png2d65d23f6d4748949b924e4057485923.png


这个东西只需要设置一次就够了


将复用引脚改成普通的IO

0a2653c851af460fa595bd959398a8f1.png



相关文章
|
6月前
|
存储 算法 芯片
星嵌OMAPL138+国产FPGA工业开发板 中科亿海微 EQ6HL45系列FPGA 替代spartan-6
OMAPL138+FPGA工业开发板TI ARM9+C674x DSP 中科亿海微国产FPGA EQ6HL45LL-2CSG324G,基于OMAPL138+国产FPGA的DSP+ARM+FPGA三核评估套件。
|
7月前
|
测试技术 异构计算
Xines广州星嵌全新FPGA开发板—OMAPL138/C6748 DSP+ARM+FPGA
基于广州星嵌TI OMAP-L138(浮点DSP C6748+ARM9) +Xilinx Spartan-6 FPGA工业核心板
|
10月前
|
算法 网络协议 安全
m基于DE2-115开发板的网口UDP数据收发系统FPGA实现
m基于DE2-115开发板的网口UDP数据收发系统FPGA实现
229 0
|
异构计算
FPGA新起点V1开发板(五)——Modelsim软件的使用(联合仿真
FPGA新起点V1开发板(五)——Modelsim软件的使用(联合仿真
167 0
FPGA新起点V1开发板(五)——Modelsim软件的使用(联合仿真
|
5天前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
21 7
|
2月前
|
算法 异构计算
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
|
1月前
|
算法 异构计算
m基于FPGA的电子钟verilog实现,可设置闹钟,包含testbench测试文件
该文介绍了基于FPGA的电子钟设计,利用Vivado2019.2平台进行开发并展示测试结果。电子钟设计采用Verilog硬件描述语言,核心包括振荡器、分频器和计数器。时间显示为2个十进制格式,闹钟功能通过存储器和比较器实现,当当前时间等于设定时间时触发。文中给出了Verilog核心程序示例,展示了时钟信号、设置信号及输出的交互。
28 2
|
3月前
|
算法 5G 数据处理
m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench
m基于FPGA的PPM光学脉位调制解调系统verilog实现,包含testbench
46 0
|
3月前
|
算法 异构计算 索引
m基于FPGA的Hamming汉明编译码verilog实现,包含testbench测试文件,不使用IP核
m基于FPGA的Hamming汉明编译码verilog实现,包含testbench测试文件,不使用IP核
42 1
|
1月前
|
算法 异构计算
m基于FPGA的RS+卷积级联编译码实现,RS用IP核实现,卷积用verilog实现,包含testbench测试文件
m基于FPGA的RS+卷积级联编译码实现,RS用IP核实现,卷积用verilog实现,包含testbench测试文件
13 0

热门文章

最新文章