金融行业思科设备典型网络故障案例:76系列典型案例(四)

简介: 金融行业思科设备典型网络故障案例:76系列典型案例(四)

一、Cisco 7609 配置粘贴出错


01故障现象

版本为:c7600rsp72043-adventerprisek9-mz.122-33.SRD3.bin 远端telnet两台cisco7609,粘贴配置时,只能粘贴一行。粘贴多行就会报错。通过console口,粘贴配置时是可以粘贴多行的。

02分析过程

厂家CASE(614107849)如果穿越H3C的路由器来telnet到7609,就会产生该问题。如果直接telnet到 7609直接进行配置,则不会产生该问题。

03故障原因

穿越H3C的路由器来telnet到7609,产生该问题。

04解决方案

直接telnet到7609直接进行配置,配置成功粘贴。

05处理结果

直接telnet到7609直接进行配置,配置成功粘贴。



二、Cisco 7609-S 重启后6槽备引擎变成ACTIVE


01故障现象

输入reload命令重启7609-S,6槽备引擎有时变成Active主引擎。

02分析过程

如果两块supervisor engine都可用,则奇数槽位的引擎抢先成为主引擎并最先启动(抢先时间为7秒钟左右)。然而如果由于某些原因slot5的引擎启动速度比slot6的引擎慢,例如在备引擎中的CF卡比主引擎的反应速度快,则备引擎将会首先启动成为主引擎。

03故障原因

由于某些原因slot5的引擎启动速度比slot6的引擎慢,例如在备引擎中的CF卡比主引擎的反应速度快,则备引擎将会首先启动成为主引擎。

04解决方案

建议通过进行配置来设置该冗余偏见值:Router(config)#platform redundancy bias <1-3600> 设置较长的时间数值(如30秒左右)可以解决此问题。

05处理结果

重新设置冗余偏见值,故障排除。重新设置冗余偏见值,故障排除。



三、Cisco 7609 主备引擎同步报错问题


01故障现象

u  7609-S的sup720引擎开机SSO同步会有机会出现报错。

u  7609-S的备份引擎不能通过tftp导入ios软件,提示:erroropen bootflash://xxx.bin

02分析过程

u  经定位,7609-S的SSO是需要开机后同步板卡状态,同步二层转发表项,同步running-config配置的,在启动过程中可能会出现同步的错误信息,这是因为设备尚在启动过程中,一些软硬件表项还没有同步完成,造成的报错,在设备启动稳定后,观察SSO状态正常。

u  经formatflash后解决,可以正常写入文件。

03故障原因

SSO模式工作正常一般在设备启动后及几分钟内完成,在SSO同步之前,可能会出现SSO同步未完成的告警,并且工作在RPR模式。如果设备稳定后还不能成功SSO同步,可联系厂家处理。

04解决方案

u  重启稳定后观察SSO状态,正常则无问题,不正常联系厂家CASE处理。      

u  经formatflash后解决,可以正常写入文件。

05处理结果

u  重启稳定后观察SSO状态,正常则无问题,不正常联系厂家CASE处理。      

u  经formatflash后解决,可以正常写入文件。

相关文章
|
2月前
|
存储 安全 网络安全
网络设备的部署(串行与并行)
网络设备的部署(串行与并行)
31 4
|
2月前
|
数据采集 XML 数据格式
Haskell网络爬虫:视频列表获取案例分析
Haskell网络爬虫:视频列表获取案例分析
|
11天前
|
机器学习/深度学习 数据采集 存储
神经网络案例实战
使用PyTorch解决手机价格分类问题:收集包含RAM、存储等特征的手机销售数据,将价格分为4个等级的分类任务。步骤包括数据预处理、特征工程、选择神经网络模型、训练、评估和预测。模型使用Sigmoid激活的三层网络,训练时采用交叉熵损失和SGD优化器。通过调整模型结构、优化器和学习率以优化性能。
|
12天前
|
JSON Java API
【Android】使用 Retrofit2 发送异步网络请求的简单案例
**摘要:** Retrofit是Android和Java的HTTP客户端库,简化了RESTful API交互。它通过Java接口定义HTTP请求,并提供注解管理参数、HTTP方法等。要使用Retrofit,首先在AndroidManifest.xml中添加`INTERNET`权限,然后在`build.gradle`中引入Retrofit和Gson依赖。创建服务器响应数据类和描述接口的接口,如`Result`和`Api`。通过Retrofit.Builder配置基础URL并构建实例,之后调用接口方法创建Call对象并发送异步请求。
46 1
|
16天前
|
监控 网络协议 安全
Verilog代码在上网行为管理软件中的网络设备控制与协议分析
**Verilog摘要:** Verilog是硬件描述语言,用于数字电路设计和网络设备控制。它在上网行为管理软件中用于控制路由器、交换机等,例如通过简单模块控制端口状态。此外,Verilog还支持协议分析,如解析以太网帧提取MAC地址。监控数据可结合Verilog实现自动化提交,例如通过HTTP发送请求到服务器,实现实时监控和响应。这些示例展示了Verilog在网络安全和性能优化中的应用潜力。
72 1
|
2天前
|
监控 安全 网络协议
TELNET命令在网络管理中的常见用法和实战案例
TELNET命令在网络管理中的常见用法和实战案例
|
5天前
|
存储 Linux
深入了解Linux设备管理:字符、块和网络设备文件
深入了解Linux设备管理:字符、块和网络设备文件
15 0
|
29天前
|
存储
【基础计算机网络2】物理层——物理层设备
【基础计算机网络2】物理层——物理层设备
|
19天前
|
监控 网络协议 安全
认识网络设备
认识网络设备
|
2月前
|
网络虚拟化 数据安全/隐私保护 网络架构
无线网络管理设备
无线网络管理设备
32 3