蓝色流星1000_社区达人页

个人头像照片
蓝色流星1000
已加入开发者社区1954

勋章 更多

个人头像照片
专家博主
专家博主
个人头像照片
星级博主
星级博主
个人头像照片
技术博主
技术博主
个人头像照片
江湖侠士
江湖侠士

成就

已发布98篇文章
15条评论
已回答0个问题
0条评论
已发布0个视频
github地址

我关注的人 更多

技术能力

兴趣领域
  • 前端开发
  • 移动开发
  • Python
  • Java
  • 视频直播
  • 小程序
  • 并行计算
  • 文字识别
  • 计算机视觉
擅长领域
技术认证

暂时未有相关云产品技术能力~

全栈开发,了解FPGA,熟练OpenCV,熟悉安卓客户端和微信小程序开发。熟悉Python和Java后端研发。对新技术感兴趣。曾就职于百度、搜狗、蚂蚁金服 现就职于阿里。

暂无精选文章
暂无更多信息

2024年06月

  • 06.11 19:53:10
    发表了文章 2024-06-11 19:53:10

    Python 实现 Unix 'tail' 命令的完整解决方案

    这是一个关于Python实现的 tail 命令库的摘要,最初由一个斯里兰卡程序员编写。代码中存在一个问题,在获取文件大小时可能抛出文件不存在的异常,已修复此 bug,添加了错误处理。修复后的代码包含一个名为 `wait_file_get_size` 的函数,用于安全地获取文件大小。此外,提供了类 `Tail`,用于监视文件变化,并可注册回调函数处理新行。
  • 06.11 19:43:30
    发表了文章 2024-06-11 19:43:30

    Python 分布式计算框架 PP (Parallel Python):集群模式下的实践探索

    该文介绍了使用Parallel Python (PP) 在两台物理机上构建分布式计算集群的经验。PP是一个轻量级框架,旨在简化Python代码在多处理器系统和集群中的并行执行。文中通过设置子节点的IP、端口和密钥启动PP服务器,并在主节点创建PP实例进行负载均衡。实验使用官方的质数和计算示例,显示PP在集群模式下能有效利用多台机器的多核CPU,实现计算效率的显著提升。未来,作者计划进一步研究PP在更复杂任务和大规模集群中的应用潜力。
  • 06.11 19:34:05
    发表了文章 2024-06-11 19:34:05

    广义曼德勃罗特集的探索与交互式可视化

    这篇文章介绍了如何使用Python和matplotlib库创建广义曼德勃罗特集的交互式可视化。作者探讨了曼德勃罗特集的基本概念,包括其自相似性和复杂边界,并扩展到广义集合,其中幂运算指数可以是任意复数。通过提供的Python代码示例,读者可以学习如何绘制并实现鼠标驱动的放大缩小功能,从而深入探索这个数学结构的细节。文章鼓励读者修改指数以观察不同形态,并提供了相关参考资料链接。
  • 06.11 19:29:22
    发表了文章 2024-06-11 19:29:22

    复动力系统 | 混沌 | Lozi 映射吸引子的可视化与交互式探索

    该文介绍了一篇关于Lozi映射吸引子可视化和交互式探索的文章。Lozi映射是混沌理论中的一个模型,展示非线性动力系统的复杂性。通过Python和matplotlib,作者实现了Lozi映射的可视化,并添加交互功能,允许用户缩放以详细观察混沌吸引子。文中还给出了Lozi映射的数学定义,并提供了Python代码示例,演示如何绘制和动态调整吸引子的显示。
  • 06.11 19:20:10
    发表了文章 2024-06-11 19:20:10

    利用无穷级数逼近计算幂运算与开根号——Python实现

    使用泰勒级数逼近法,本文介绍了如何用Python计算特殊幂运算,包括分数次幂和开根号。通过定义辅助函数,如`exp`、`getN_minus_n`、`multi`和`getnum`,实现了计算任意实数次幂的功能。实验结果显示,算法能有效计算不同情况下的幂运算,例如`0.09^2`、`1^2`、`0.25^2`、`0.09^(0.5)`、`1^(0.5)`和`0.25^(0.5)`。虽然精度可能有限,但可通过调整迭代次数平衡精度与计算速度。
  • 06.11 18:05:05
    发表了文章 2024-06-11 18:05:05

    以太网帧FCS校验:CRC32的三种实现

    这篇文章讨论了CRC32校验码的三种实现方法,用于检测网络通信中的数据错误。首先介绍了基础的按位计算方法,虽然直观但效率低。接着,文章提出了使用非翻转查找表和翻转查找表的快速计算方法,后者在性能上更优。文中提供了C++代码示例,展示如何生成和使用这些查找表。最后,指出在实际应用中,应根据性能需求选择合适的方法。
  • 06.11 17:57:56
    发表了文章 2024-06-11 17:57:56

    biginteger大数运算:从O(n³)到O(n²)的跨越

    这篇文章讲述了作者优化大数乘法算法的过程,从起初的O(n³)时间复杂度降低到O(n²)。优化的关键在于使用`int`类型临时存储,避免了进位检查,提升了运算速度。作者提供了代码示例,并通过测试验证了算法的性能,特别是在处理长串数字时效果显著。最后,文章强调了算法设计和数据结构选择的重要性,并提到将继续探索更高效的算法,如Karatsuba算法。
  • 06.11 17:48:58
    发表了文章 2024-06-11 17:48:58

    数码相机背后的像素秘密:静态与动态的真相

    这篇文章探讨了数码摄影中“动态像素”和“静态像素”的概念。像素是图像质量的关键因素,而CCD或CMOS传感器负责将光信号转化为数字图像。RGB差值补偿算法用于恢复色彩,但牺牲了部分分辨率。所谓“动态像素”更多是营销术语,而非技术标准,它反映了拍照和录像时因硬件限制和处理需求不同而产生的差异。随着技术进步,硬件编码器的引入已显著提升视频处理能力,使得高清摄影和视频录制变得更加普遍。理解这些原理有助于消费者做出更明智的设备选择。
  • 06.11 17:41:14
    发表了文章 2024-06-11 17:41:14

    【网卡可能存在的后门】以太网控制器IP核中的潜在安全威胁与自主化思考

    本文探讨了2011年作者在FPGA上开发以太网控制器时发现的一个潜在后门。该后门利用以太网协议的特性,通过特定数据触发网卡进入死亡模式,持续产生中断,导致设备无法正常关闭,对无操作系统的嵌入式设备构成威胁。尽管有操作系统的设备受此影响较小,但WiFi网卡可能因广播攻击而受影响。作者强调网卡安全的重要性,认为其比CPU更需自主化,并指出防止CPU后门的关键在于确保驱动和代码无后门,而非仅仅自主化CPU。
  • 06.11 17:34:29
    发表了文章 2024-06-11 17:34:29

    《常识题题库系统》,公务员必备,博学广识之士必备。从程序员变成诗人

    这是一篇关于作者在2011年使用C#制作的一款个人小程序的介绍,程序包含4万6000道常识题,支持随机选题、难度设置、添加备注和隐藏题目功能。具备学习记录保存、数据加密及压缩,且有意外中断时的数据恢复机制。作者计划未来可能更新功能或移植到Android平台
  • 06.11 17:31:09
    发表了文章 2024-06-11 17:31:09

    技术洞察:循环语句细微差异下的性能探索(测试while(u--);和while(u)u--;的区别)

    该文探讨了两种循环语句(`while(u--);` vs. `while(u) u--;`)在性能上的微妙差异。通过实验发现,后者比前者平均执行速度快约20%,原因在于循环条件检查的顺序影响了指令数量。尽管差异可能在多数情况下不显著,但在性能关键的代码中,选择合适的循环结构能优化执行效率。建议开发者在编写循环时考虑编译器优化和效率。未来研究可扩展到不同编译器、优化级别及硬件架构的影响。
  • 06.11 17:25:07
    发表了文章 2024-06-11 17:25:07

    Linux编程:测试-高效内存复制与随机数生成的性能

    该文探讨了软件工程中的性能优化,重点关注内存复制和随机数生成。文章通过测试指出,`g_memmove`在内存复制中表现出显著优势,比简单for循环快约32倍。在随机数生成方面,`GRand`库在1000万次循环中的效率超过传统`rand()`。文中提供了测试代码和Makefile,建议在性能关键场景中使用`memcpy`、`g_memmove`以及高效的随机数生成库。
  • 06.11 17:15:24
    发表了文章 2024-06-11 17:15:24

    汉化FC(红白机)游戏需要用到哪些技术?

    这篇文章介绍了FC(红白机)游戏文本汉化的基本流程和技术知识。主要步骤包括分析游戏ROM、寻找文本字符串、学习游戏代码、修改文本、修改ROM头信息、重新映射、测试和修正。文章还提供了汉化实例,详细讲解了如何使用各种工具,如VirtuaNES Debugger、NO$NES、6502 Simulator等进行ROM分析和修改。此外,文章还介绍了如何使用汇编语言编写自定义程序以实现大字体汉化和在游戏画面切换时恢复原来的VROM。整个过程需要对6502汇编语言、NES硬件架构和ROM结构有一定的理解和编程经验。
  • 06.11 17:07:45
    发表了文章 2024-06-11 17:07:45

    /proc/cpuinfo 中的各个字段,都代表什么意思

    `/proc/cpuinfo` 在 Linux 中显示 CPU 指令集信息,包括浮点运算、V86模式、调试、大页支持、时间戳计数器等。列表详细解释了各种 FLAG,如 FPU、VME、PSE、TSC 等,涉及处理器硬件功能和指令集。还包括多媒体扩展(MMX、SSE、SSE2)、超线程(HT)、虚拟化技术(VMX)、加密指令(AES)、高级向量扩展(AVX)等特性。
  • 06.11 16:57:30
    发表了文章 2024-06-11 16:57:30

    AVX2指令集简介和代码示例

    这篇文章介绍了AVX2指令集,它是Intel在2013年为提高处理器并行计算能力引入的SIMD技术。AVX2增强了整数运算,包括256位操作和位操作,还提供了FMA指令及更多广播和转换功能。与AVX相比,AVX2在图像处理和媒体编码等领域有显著优势。文章通过一个C代码示例展示了如何使用AVX2进行向量加法,并提醒编译时需确保支持AVX2指令集。
  • 06.11 16:49:49
    发表了文章 2024-06-11 16:49:49

    AVX 指令集简介及其与 SSE 的对比

    本文介绍了AVX指令集在高性能计算中的重要性,它是Intel于2011年推出的一种SIMD技术,扩展了SSE指令集,将向量宽度增至256位,支持更多数据类型和浮点精度控制。主要差异包括向量宽度、数据类型扩展、指令集增加和精度控制。文中通过C代码示例展示了如何使用AVX进行向量加法。AVX对科学计算、图像处理和机器学习等领域提供了显著的性能提升。编译时需确保编译器支持AVX标志。
  • 06.11 16:46:00
    发表了文章 2024-06-11 16:46:00

    SSE2 指令集简介以及与SSE的差别

    SSE2,Intel在2001年为Pentium 4引入的扩展,增强了SSE的功能,添加了对双精度浮点和64位整数运算的支持,新增144条指令,提升向量处理能力。SSE2的C代码示例展示了如何通过`_mm_add_ps`加速向量加法。启用SSE2编译器支持可优化处理图像、音频和视频等大量计算任务的性能。
  • 06.11 16:44:14
    发表了文章 2024-06-11 16:44:14

    SSE - 多媒体编程中的利器 - SSE指令集简介和C代码示例

    本文介绍了SSE(Stream SIMD Extensions)指令集在多媒体处理中的应用,它能提升浮点运算性能,尤其适合处理大量数据。SSE允许一次处理4个32位浮点数,提高效率。文中通过示例展示了如何在C++中集成SSE,比如使用`__m128`数据类型和`_mm_set_ps1()`等函数优化浮点数放大算法。测试结果显示,使用SSE优化后的算法比未优化版本快约3倍,强调了SSE在高效处理多媒体数据中的价值。
  • 06.11 16:37:01
    发表了文章 2024-06-11 16:37:01

    OpenGL生态中的GL, GLU, GLUT, GLX, GLEW, GLEE和GLEXT详解

    该文介绍了OpenGL生态系统中的关键组件,包括GL(基础绘图API)、GLU(提供辅助函数)、GLUT(窗口管理和事件处理)、GLX(X Window系统上的OpenGL扩展)、GLEW和GLEE(动态查询和封装OpenGL扩展)以及GLEXT(OpenGL扩展集合)。这些库和工具协同工作,帮助开发者构建跨平台的图形应用程序,提升兼容性与功能。理解它们的作用对优化OpenGL编程至关重要。
  • 06.11 16:35:11
    发表了文章 2024-06-11 16:35:11

    C# 版本的 计时器类 精确到微秒 秒后保留一位小数 支持年月日时分秒带单位的输出

    这篇2010年的文章是从别处搬运过来的,主要包含一个C#类`TimeCount`,该类有多个方法用于处理时间相关的计算。例如,`GetMaxYearCount`计算以毫秒为单位的最大年数,`GetCurrentTimeByMiliSec`将当前时间转换为毫秒,还有`SecondsToYYMMDDhhmmss`将秒数转换为年月日时分秒的字符串。此外,类中还包括一些辅助方法,如处理小数点后保留一位数字的`RemainOneFigureAfterDot`。
  • 06.11 16:17:59
    发表了文章 2024-06-11 16:17:59

    分形逃逸时间算法中的 Normalized Iteration Count(NIC)技术 让颜色更柔和

    Normalized Iteration Count (NIC) 技术是一种提升逃逸时间算法中分形图像质量的方法,它产生更平滑的颜色过渡。数学公式表示为:`mu = n + 1 - log(log(|Z(n)|)) / log(p)`,其中 `Z(n)` 是迭代次数,`|Z(n)|` 是复数模长,`p` 通常取2。示例代码提供了 Ruby, Maxima 和 C 语言的实现。
  • 06.11 16:05:03
    发表了文章 2024-06-11 16:05:03

    Mandelbrot集的最新变化形态一览——MandelBox,Mandelbulb,Burning Ship,NebulaBrot

    该文介绍了几种基于Mandelbrot集的衍生形态,包括Mandelbulb、MandelBox、Burning Ship和NebulaBrot。Mandelbulb是3D扩展,使用球坐标;MandelBox利用盒映射创造复杂形状;Burning Ship以复数模和实部迭代;NebulaBrot则结合多种分形特征。文中提供了简单的Python代码示例来生成这些图形,并提到了相关学习资源。
  • 06.11 15:58:08
    发表了文章 2024-06-11 15:58:08

    C# Mandelbrot和Julia分形图像生成程序更新到2010-9-14版 支持多线程计算 多核处理器

    此文档是一个关于分形图像生成器的介绍,作者分享了个人开发的M-J算法集成及色彩创新,包括源代码和历史版本。作者欢迎有兴趣的读者留言交流,并提供了邮箱(delacroix_xu@sina.com)以分享资源。文中还展示了程序的发展历程,如增加了真彩色效果、圈选放大、历史记录等功能,并分享了几幅精美的分形图像。此外,还提到了程序的新特性,如导入ini文件批量输出图像和更新一批图片的功能。文档末尾附有多张程序生成的高分辨率分形图像示例。
  • 06.11 15:03:55
    发表了文章 2024-06-11 15:03:55

    Java集合详解:Set, Map, Vector, List的对比与联系

    Java集合框架核心包括List、Set、Map和Vector。List允许重复元素,如ArrayList(适合读取)和LinkedList(适合插入删除)。Set不允许重复,有HashSet(无序)和TreeSet(排序)。Map存储键值对,HashMap(无序)和TreeMap(排序)。Vector是线程安全的ArrayList替代品,但在多线程环境下使用。选择集合类型应根据应用场景,如有序、无序、键值对需求及线程安全考虑。
  • 06.11 14:54:54
    发表了文章 2024-06-11 14:54:54
  • 06.11 14:50:38
    发表了文章 2024-06-11 14:50:38

    主板电源符号揭秘:深入了解VDD、VDDQ、5VSB及其他

    本文介绍了计算机主板电源设计中的关键符号,包括VDD(通用数字电路电源)、VDDQ(高稳定度滤波电源)、5VSB和3VSB(待机电源)、VCC3(+3V主要电源)、VDIMM(内存专用电源)、SB(待机电池电源)以及VCORE(CPU核心电压)。这些电源符号各自对应特定的供电区域和功能,确保主板组件的稳定运行。理解这些电源符号对于主板电源管理、故障排查和系统优化具有重要意义。
  • 06.11 14:47:22
    发表了文章 2024-06-11 14:47:22

    quartus 小技巧—— 分线。例如总线data[31..0],引出的分线为data[7..0]

    在数字电路设计中,总线用于并行传输数据,而分线是从总线中提取特定数据位。Quartus II,Altera(现Intel)的EDA工具,支持灵活的总线分线操作。本文介绍了两种在Quartus II中实现分线的方法:一是直接索引,如`data[7:0]`;二是使用Verilog的`extract`操作,尽管在Verilog中直接索引更常见。这些技巧有助于提升设计效率。
  • 06.11 14:43:57
    发表了文章 2024-06-11 14:43:57

    MPI分形图像高精度绘制程序和PC端Mandelbrot-Julia分形集预览程序

    这篇文章描述了一个使用2010年技术的集群程序,该程序基于Linux + MPI + C++或Windows + .NET + C#,用于并行计算生成高分辨率BMP图像,特别是Mandelbrot和Julia集。在8台节点上,程序实现了7.31的稳定加速比,并在更大规模任务中有望提升。它支持MPI并行计算、任务日志、不同阶数的分形集生成、批处理、多线程以及优化的颜色处理等功能。创新点包括颜色表的正弦控制、动态调整运算精度、复杂颜色生成、优化的颜色更新和并发机制等。程序产生的图像样本显示了其多样性和质量。作者提供源代码,并提到设计思路可应用于类似图像生成任务。
  • 06.11 14:39:44
    发表了文章 2024-06-11 14:39:44

    跨平台SSH文件传输:Linux与Windows环境下的实践指南

    本文介绍了在Linux和Windows之间使用SCP、SecureCRT及PuTTY工具集进行文件传输的方法。在Linux中,利用SCP命令进行文件下载、上传及目录传输。在Windows环境下,PSFTP和PSCP提供类似功能,而SecureCRT作为SSH客户端,支持设置上传下载目录并进行文件传输。掌握这些工具的使用可提升跨平台运维效率。
  • 06.11 14:37:12
    发表了文章 2024-06-11 14:37:12

    RealVNC的 VNC server在windows7系统下无法正确运行

    在Windows 7上运行旧版VNC Server(如4.1.2)可能存在兼容性问题,但可通过调整配置解决。步骤包括:安装VNC Server,设置兼容性模式(选择Windows XP SP3),启动VNC Server,配置VNC连接参数。若遇到问题,检查防火墙设置,确保系统更新,并考虑升级到新版VNC Server以提高性能和兼容性。
  • 06.11 14:31:44
    发表了文章 2024-06-11 14:31:44

    电容器在电路设计中的多元角色:全面解析

    电容器在电子电路中扮演多种角色:如滤波、退耦、旁路、耦合、调谐等。它们用于滤除杂波、平滑直流、阻止低频信号、连接交流信号、调节频率、稳定振荡等。电容还应用于定时、加速、缩短电路,消除频率影响,预加重和去加重音频信号,以及相位控制、反馈、限流降压等。理解电容的功能对于电子电路设计至关重要。
  • 06.11 14:08:32
    发表了文章 2024-06-11 14:08:32

    开机自动挂载NTFS分区至Linux:分步指南

    在Linux中自动挂载Windows NTFS分区,需创建挂载点(如`/media/c_win`),识别分区(如`/dev/sda1`),获取UUID,并编辑`fstab`文件添加挂载信息。推荐使用UUID以保持稳定性。在VMware环境中可能需添加`force`选项。完成这些步骤后,重启系统,NTFS分区将自动挂载。这对于双系统用户非常方便。
  • 06.11 13:56:45
  • 06.11 13:50:32
    发表了文章 2024-06-11 13:50:32

    Linux 内存管理与 Swap 空间扩展实践

    该文介绍了Linux系统中`free`命令的使用,解析了其输出信息,包括物理内存(总内存、已用、空闲、缓存)和交换空间(总大小、使用和空闲)。Linux优先使用物理内存作缓存,当内存紧张时使用Swap空间。文章还提供了扩展Swap空间的步骤,并强调适度Swap使用对性能的影响,建议合理平衡物理内存和Swap的比例。
  • 06.11 13:45:20
    发表了文章 2024-06-11 13:45:20

    Grub2启动项的修改和相关操作命令

    **GRUB2**是Linux的主要引导加载程序,用于启动时显示菜单。要修改启动项,应编辑`/etc/default/grub`,然后运行`grub-mkconfig -o /boot/grub/grub.cfg`更新配置,最后确保`grub.cfg`只读。若需修复引导问题,可启动到Ubuntu Live CD/DVD,挂载根和boot分区,重新安装GRUB2,并根据需要修复`grub.cfg`或Windows引导项。遵循此指南,能安全管理GRUB2并解决引导问题。
  • 06.11 13:29:13
    发表了文章 2024-06-11 13:29:13

    通俗讲解 初学者一文看懂!虚函数、函数重载、重写的区别

    函数重载允许在同一作用域内定义同名但参数列表不同的函数,提高代码灵活性和可读性,避免命名冲突。通过参数类型自动选择合适版本,如C++中的`print()`可处理整数、浮点数和字符串。虚函数实现运行时多态,基类指针调用时调用实际对象的版本。抽象类至少有一个纯虚函数,不能实例化,用于定义接口规范。抽象类和纯虚函数是构建多态和继承体系的基础,提供接口标准,减少代码冗余,增强代码清晰性和可维护性。
  • 06.11 13:20:57
    发表了文章 2024-06-11 13:20:57

    C语言读写BMP文件-EasyBmp【 linux 平台】

    **EasyBmp** 是一个49KB的轻量级C++图像处理库,专注于BMP格式,提供简单易用的API。它的特点是小巧、开源、易于理解和高度定制。通过示例代码展示了如何轻松读取、缩放和保存BMP图像。适合需要高效处理BMP图像的开发者。
  • 06.11 13:09:48
    发表了文章 2024-06-11 13:09:48

    【verilog】同步复位,异步复位以及异步复位同步释放

    该文讨论了数字电路设计中触发器复位机制的三种类型:同步复位、异步复位和异步复位同步释放。同步复位在时钟边沿确保稳定性,但对复位脉冲宽度有要求;异步复位响应快速,但可能受干扰且时序不确定;异步复位同步释放则结合两者的优点。设计时需根据需求权衡选择。文中还给出了Verilog代码示例。
  • 06.11 13:03:31
    发表了文章 2024-06-11 13:03:31

    【学习笔记】Verilog之五:任务、函数及其他

    在Verilog HDL中,任务(tasks)和函数(functions)增强了代码的可读性和重用性。任务是仅在仿真环境中使用的可封装代码,不可综合为硬件电路。任务定义包括标识符、输入/输出声明和实现代码,调用时需提供参数列表。函数与任务类似,但返回单个值,不包含时序控制,并且可以互相调用。函数定义包括返回值范围、输入声明、变量声明和执行代码。系统任务和函数如 `$display` 和 `$fopen` 提供了显示信息、文件I/O等便利功能,用于仿真控制和调试。禁止语句和命名事件则帮助控制代码执行和事件同步。
  • 06.11 12:54:37
    发表了文章 2024-06-11 12:54:37

    【学习笔记】Verilog之四:结构建模方法

    Verilog 提供两种建模方法:行为建模和结构建模。行为建模专注于电路功能描述,类似高级编程语言,不涉及底层实现。数据流建模使用 `assign` 进行连续赋值,适合描述组合逻辑。线网可以在声明时直接赋值。顺序行为建模通过 `initial` 和 `always` 语句实现,`initial` 用于一次性初始化,`always` 则用于响应特定事件的重复执行。时序控制包括时延和事件控制,用于精确控制电路行为。阻塞和非阻塞赋值决定了语句执行的顺序和并发性。过程性连续赋值如 `assign-deassign` 和 `force-release` 提供了更多灵活性。
  • 06.11 12:28:05
    发表了文章 2024-06-11 12:28:05

    【学习笔记】Verilog之三:行为建模方法

    Verilog是一种硬件描述语言,用于模拟电子系统的结构和行为。行为建模是Verilog的一种方法,分为数据流行为建模和顺序行为建模。 数据流行为建模主要使用`assign`语句,它描述了电路的功能而不涉及具体结构。连续赋值语句(`assign`)用于给线网分配值,当右端表达式发生变化时,新值会立即赋给线网。此外,还有时延的概念,可以指定赋值或事件发生的延迟时间。
  • 06.11 12:19:40
    发表了文章 2024-06-11 12:19:40

    【学习笔记】verilog HDL之二:数据类型与表达式

    本文介绍了Verilog语言中的常量、变量和表达式。Verilog有四种基本值:0、1、x(未知)和z(高阻)。整型常量有十进制和基数两种格式,实数型常量包括浮点数,字符串常量由双引号括起的字符序列构成。变量分为线网型和寄存器型,线网型包括wire、tri等11种类型,寄存器型有reg、integer、time等,其中reg可声明存储器。表达式中的操作数包括常数、参数、线网等8种类型,操作符包括算术、关系、逻辑等9种类型。
  • 06.11 11:30:23
    发表了文章 2024-06-11 11:30:23

    初识 Verilog HDL , 什么是verilog HDL?

    这是一篇关于Verilog HDL的学习笔记摘要。Verilog是一种硬件描述语言,用于数字系统的多层抽象设计,包括行为、数据流和结构。设计流程包括功能设计、Verilog描述、软件模拟、逻辑综合和硬件实现。模块是Verilog的基本单元,代表逻辑实体,通过并行运行和分层连接实现复杂系统。模块包含端口列表和定义,通过模块调用(实例化)实现子模块连接。Verilog的参数声明和预处理指令(如`define、`include和`timescale)增加了代码的可读性和灵活性。笔记指出Verilog与C语言有相似之处,易于学习。
  • 06.11 11:28:10
    发表了文章 2024-06-11 11:28:10

    Quartus II 中常见Warning 原因及解决方法

    这篇内容是关于2010年作者在进行嵌入式FPGA开发时遇到的问题及解决方案的总结。主要问题包括时钟敏感信号在时钟边缘同时变化、信号截断、输出端口未使用、未定义的时钟信号、时钟延迟分析未启用、时序违规等。对应的解决措施涉及编辑vector source file、调整信号位数、添加约束信息、优化设计和设置时序要求等。此外,还包括对预发布设备时序特性的警告以及关于行波和门控时钟的注意事项。
  • 06.11 11:24:50
    发表了文章 2024-06-11 11:24:50

    关于数字电路中的“反馈”效应

    这段内容是关于数字电路中组合电路的特性解释。组合电路的输出仅由当前输入决定,数据有效意味着稳定性,不随时间自发变化。因为无反馈,所以当输入不变时,输出保持稳定,适合进行基于当前输入的计算和逻辑操作。
  • 06.11 11:18:37
    发表了文章 2024-06-11 11:18:37

    余三码和8421码的关系以及使用场景

    余三码与8421码是两种不同的二进制编码方式,常用于表示十进制数。余三码是8421码加上3形成的无权码,具有自补性和进位信号特点,适合错误检测,但求和需修正。8421码是恒权码,方便二进制与十进制转换,常用于数字显示、数据传输和精确十进制运算。在计算机领域,两者各有应用场景,如BCD码用于七段显示器和精确计算,余三码则用于错误检测和简化算术操作逻辑设计。
  • 06.11 11:06:54
    发表了文章 2024-06-11 11:06:54

    石英晶体是如何产生振荡的?以及cpu倍频的由来

    本文是关于石英晶体振荡器的学习笔记,适合计算机科学与技术背景的读者。内容涵盖了石英晶体振荡器的基本原理,包括压电效应、等效电路、谐振频率,以及不同类型振荡器的特性和参数。此外,还讨论了石英晶体振荡器的小型化、高精度、低噪声、低功耗发展趋势,并列举了它们在石英钟、彩电和通信系统中的应用。最后提到了处理器倍频的概念,解释了其原理和实际应用中的限制。
  • 06.11 11:01:23
    发表了文章 2024-06-11 11:01:23

    C#.NET逃逸时间算法生成分形图像的毕业设计完成!晒晒功能

    该文介绍了一个使用C#.NET Visual Studio 2008开发的程序,包含错误修复的Julia、Mandelbrot和优化过的Newton三种算法,生成色彩丰富的分形图像。作者改进了原始算法的效率,将内层循环的画点操作移至外部,提升性能。程序提供五种图形模式,支持放大缩小及颜色更新,并允许用户自定义画布大小以调整精度。还具备保存为高质JPG的功能。附有四张示例图片展示生成的分形效果。
  • 发表了文章 2024-09-14

    超分辨率相关的开源项目

  • 发表了文章 2024-09-11

    Nginx负载均衡与故障转移实践

  • 发表了文章 2024-08-21

    python requests库如何使用http连接池降低延迟 keepalive复用连接

  • 发表了文章 2024-08-19

    xxx水库数字孪生系统设计方案

  • 发表了文章 2024-08-15

    LInux下 python混淆代码打包产出exe

  • 发表了文章 2024-08-15

    python打包pyinstaller如何使用

  • 发表了文章 2024-08-10

    油猴脚本(篡改猴)获取某度网盘链接

  • 发表了文章 2024-08-04

    Three.js如何降低3D模型的大小以便更快加载

  • 发表了文章 2024-08-02

    安卓scheme_url调端:在AndroidManifest.xml 中如何配置 Intent-filter?

  • 发表了文章 2024-08-02

    安卓scheme_url调端:如果手机上多个app都注册了 http或者https 的 intent。 调端的时候,调起哪个app呢?

  • 发表了文章 2024-08-02

    倾斜摄影教程:无人机航拍后使用ContextCapture生成 3D模型

  • 发表了文章 2024-07-17

    uniap开发微信小程序如何在线预览pdf文件

  • 发表了文章 2024-07-15

    JavaScript 使用axios库发送 post请求给后端, 给定base64格式的字符串数据和一些其他参数, 使用表单方式提交, 并使用onUploadProgress显示进度

  • 发表了文章 2024-07-14

    kotlin开发安卓app,如何让布局自适应系统传统导航和全面屏导航

  • 发表了文章 2024-07-14

    kotlin开发安卓app,使用webivew 触发 onShowFileChooser, 但只能触发一次,第二次无法触发,是怎么回事。 如何解决

  • 发表了文章 2024-07-13

    使用kotlin Jetpack Compose框架开发安卓app, webview中h5如何访问手机存储上传文件

  • 发表了文章 2024-07-12

    一个好用的IM服务端项目 flamingo

  • 发表了文章 2024-06-28

    一个好看的vue admin模板

  • 发表了文章 2024-06-20

    python使用DBUtil连接池封装psycopg2/hologres

  • 发表了文章 2024-06-17

    kotlin webview 加载网页失败后如何再次重试

正在加载, 请稍后...
滑动查看更多
正在加载, 请稍后...
暂无更多信息
正在加载, 请稍后...
暂无更多信息