基于FPGA的QPSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR

简介: 该系统在原有的QPSK调制解调基础上,新增了高斯信道和误码率统计模块,验证了不同SNR条件下的QPSK误码性能。系统包括数据生成、QPSK调制与解调等模块,使用Vivado 2019.2进行仿真,展示了SNR分别为15dB、10dB、5dB和1dB时的误码情况。系统采用Verilog语言实现,具有高效、可靠的特点。

1.算法仿真效果
本系统在以前写过的QPSK调制解调系统的基础上,增加了高斯信道模块,误码率统计模块,可以验证不同SNR情况下的QPSK误码情况。

vivado2019.2仿真结果如下(完整代码运行后无水印):

SNR=15DB

e82e5f25129460192e90a0cc8187a462_watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_100,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=.jpg

SNR=10DB

48b1221a073691c028ff5be61ea65f2d_watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_100,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=.jpg

SNR=5DB

ffafab28c0b11378008a4e2a31ccd8a2_watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_100,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=.jpg

SNR=1DB

f5c4f344a1a897aa0b1b668325d34219_watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_100,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=.jpg

系统RTL结构图:

83b9e108f67f36870f4f9cbb6fbb7ddd_watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_100,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=.jpg

2.算法涉及理论知识概要
QPSK是一种数字调制方式,它将两个二进制比特映射到一个符号上,使得每个符号代表四种可能的相位状态。因此,QPSK调制解调系统可以实现更高的传输速率和更高的频谱效率。基于FPGA的QPSK调制解调系统通常由以下几个模块组成:

数据生成模块:生成要传输的二进制数据流。
QPSK调制模块:将二进制数据流转换为符号序列,并将每个符号映射到特定的相位状态。
QPSK解调模块:将接收到的符号序列解调为二进制数据流。
下面将详细介绍每个模块的原理和实现方法。

2.1QPSK调制模块
QPSK调制模块将二进制数据流转换为符号序列,并将每个符号映射到特定的相位状态。QPSK调制使用四个相位状态,分别为0度、90度、180度和270度。在QPSK调制中,每个符号代表两个比特,因此,输入二进制数据流的速率必须是符号速率的两倍。
QPSK调制模块通常使用带有正弦和余弦输出的正交调制器(I/Q调制器)来实现。在I/Q调制器中,输入信号被分成两路,一路被称为“正交(I)路”,另一路被称为“正交(Q)路”。每个输入符号被映射到一个特定的正交信号,然后通过合成器将两个信号相加,形成QPSK调制信号。

4e66b7d52bdecfac0780e0d1f058d23d_watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_100,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=.jpg

2.2 QPSK解调模块
QPSK解调模块将接收到的符号序列解调为二进制数据流。解调模块使用相干解调器来实现,相干解调器可以将接收到的信号分解成两个正交分量,然后将它们与本地正交信号相乘,得到原始的QPSK符号。解调器的输出是一个复数,需要进行幅值解调和相位解调才能得到原始的二进制数据流。

e8fe29ca5e0c14510780c38dd4f2bb5f_watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_100,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=.jpg

基于FPGA的QPSK调制解调系统的开发过程。
首先需要选择适合的FPGA平台和开发工具。常用的FPGA平台有Xilinx和Altera,开发工具包括Vivado,以及Altera Quartus。选择FPGA平台和开发工具需要考虑系统的要求和开发人员的经验。
系统设计包括确定系统的功能、模块划分和接口设计。在QPSK调制解调系统中,需要确定每个模块的功能和接口,并确定数据流的方向和速率。在设计过程中,需要考虑系统的性能、资源占用和延迟等因素。
模块实现是基于FPGA的QPSK调制解调系统开发的核心部分。在模块实现过程中,需要使用硬件描述语言(HDL)编写代码,并使用仿真工具进行验证。常用的HDL语言有VHDL和Verilog,仿真工具包括ModelSim和ISE Simulator。
基于FPGA的QPSK调制解调系统是一种高效、可靠的数字通信系统。通过使用FPGA平台和硬件描述语言,可以实现高性能、低延迟、低功耗的QPSK调制解调系统。在开发过程中,需要考虑系统的功能、性能、资源占用和延迟等因素。通过系统测试,可以确保系统的正确性和可靠性。

3.verilog核心程序
````timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2024/07/25 21:19:54
// Design Name:
// Module Name: QPSK_tops
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//

module QPSK_tops(
input i_clk,
input i_rst,
input i_Ibits,
input i_Qbits,
input signed[7:0]i_SNR,
output signed[15:0]o_Ifir,
output signed[15:0]o_Qfir,
output signed[31:0]o_mod_T,
output signed[15:0]o_Nmod_T,
output signed[31:0]o_modc,
output signed[31:0]o_mods,
output signed[31:0]o_rIfir,
output signed[31:0]o_rQfir,
output signed[31:0]o_error_num,
output signed[31:0]o_total_num
);

//QPSK调制
TQPSK TQPSKU(
.i_clk (i_clk),
.i_rst (i_rst),
.i_Ibits(i_Ibits),
.i_Qbits(i_Qbits),

.o_Ifir (o_Ifir),
.o_Qfir (o_Qfir),
.o_cos (),
.o_sin (),
.o_modc (),
.o_mods (),
.o_mod (o_mod_T)
);

//加入信道
awgns awgns_u(
.i_clk(i_clk),
.i_rst(i_rst),
.i_SNR(i_SNR), //这个地方可以设置信噪比,数值大小从-10~50,
.i_din(o_mod_T[30:15]+o_mod_T[31:16]),
.o_noise(),
.o_dout(o_Nmod_T)
);

//QPSK解调
RQPSK RQPSKU(
.i_clk (i_clk),
.i_rst (i_rst),
.i_med (o_Nmod_T),
.o_cos (),
.o_sin (),
.o_modc (o_modc),
.o_mods (o_mods),
.o_Ifir (o_rIfir),
.o_Qfir (o_rQfir)

);

//计算误码率
//I,Q两路分别计算,最后统计平均值作为误码率
//error calculate
wire [31:0]w_error_num1;
wire [31:0]w_error_num2;
Error_Chech Error_Chech_u1(
.i_clk(i_clk),
.i_rst(i_rst),
.i_trans({~i_Ibits,1'b1}),
.i_rec({~o_rIfir[31],1'b1}),
.o_error_num(w_error_num1),
.o_total_num()
);

//error calculate
Error_Chech Error_Chech_u2(
.i_clk(i_clk),
.i_rst(i_rst),
.i_trans({~i_Qbits,1'b1}),
.i_rec({o_rQfir[31],1'b1}),
.o_error_num(w_error_num2),
.o_total_num(o_total_num)
);

assign o_error_num={w_error_num1[31],w_error_num1[31:1]} + {w_error_num2[31],w_error_num2[31:1]} ;

endmodule
```

相关文章
|
23天前
|
监控 算法 安全
基于颜色模型和边缘检测的火焰识别FPGA实现,包含testbench和matlab验证程序
本项目展示了基于FPGA的火焰识别算法,可在多种应用场景中实时检测火焰。通过颜色模型与边缘检测技术,结合HSV和YCbCr颜色空间,高效提取火焰特征。使用Vivado 2019.2和Matlab 2022a实现算法,并提供仿真结果与测试样本。FPGA平台充分发挥并行处理优势,实现低延迟高吞吐量的火焰检测。项目包含完整代码及操作视频说明。
|
10天前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的2ASK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR
本项目基于Vivado 2019.2实现了2ASK调制解调系统,新增高斯信道及误码率统计模块,验证了不同SNR条件下的ASK误码表现。2ASK通过改变载波振幅传输二进制信号,其调制解调过程包括系统设计、Verilog编码、仿真测试及FPGA实现,需考虑实时性与并行性,并利用FPGA资源优化非线性操作。
23 0
|
4月前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
133 7
|
4月前
|
算法 异构计算
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
基于FPGA的ECG信号滤波与心率计算verilog实现,包含testbench
|
4月前
|
算法 异构计算
m基于FPGA的电子钟verilog实现,可设置闹钟,包含testbench测试文件
该文介绍了基于FPGA的电子钟设计,利用Vivado2019.2平台进行开发并展示测试结果。电子钟设计采用Verilog硬件描述语言,核心包括振荡器、分频器和计数器。时间显示为2个十进制格式,闹钟功能通过存储器和比较器实现,当当前时间等于设定时间时触发。文中给出了Verilog核心程序示例,展示了时钟信号、设置信号及输出的交互。
167 2
|
4月前
|
编解码 算法 异构计算
基于FPGA的NC图像质量评估verilog实现,包含testbench和MATLAB辅助验证程序
在Vivado 2019.2和Matlab 2022a中测试的图像质量评估算法展示了效果。该算法基于NC指标,衡量图像与原始图像的相似度,关注分辨率、色彩深度和失真。提供的Verilog代码段用于读取并比较两个BMP文件,计算NC值。
|
4月前
|
算法 异构计算
m基于FPGA的MPPT最大功率跟踪算法verilog实现,包含testbench
该内容包括三部分:1) 展示了Vivado 2019.2和Matlab中关于某种算法的仿真结果图像,可能与太阳能光伏系统的最大功率点跟踪(MPPT)相关。2) 简述了MPPT中的爬山法原理,通过调整光伏电池工作点以找到最大功率输出。3) 提供了一个Verilog程序模块`MPPT_test_tops`,用于测试MPPT算法,其中包含`UI_test`和`MPPT_module_U`两个子模块,处理光伏电流和电压信号。
53 1
|
2月前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
升级版FPGA MSK调制解调系统集成AWGN信道模型,支持在Vivado 2019.2中设置不同SNR仿真误码率。示例SNR值从0到15,结果展示解调质量随SNR提升。MATLAB仿真验证了MSK性能,图片显示了仿真结果。 ### 理论概要 研究聚焦于软件无线电中的MSK调制解调,利用Verilog实现。MSK是一种相位连续、恒包络的二进制调制技术,优点包括频谱效率高。系统采用无核设计,关键模块包括调制器、解调器和误码检测。复位、输入数据、中频信号等关键信号通过Verilog描述,并通过Chipscope在线观察。
71 6
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
|
2月前
|
C语言 芯片 异构计算
FPGA新起点V1开发板(六-语法篇)——verilog简介+基础语法
FPGA新起点V1开发板(六-语法篇)——verilog简介+基础语法
|
4月前
|
算法 异构计算
m基于FPGA的RS+卷积级联编译码实现,RS用IP核实现,卷积用verilog实现,包含testbench测试文件
m基于FPGA的RS+卷积级联编译码实现,RS用IP核实现,卷积用verilog实现,包含testbench测试文件
50 0

热门文章

最新文章