蓝桥杯EDA历年省赛真题分析-1

简介: 蓝桥杯EDA历年省赛真题分析

前言:

第十二届蓝桥杯EDA比赛用的是AD软件,第十三届蓝桥杯EDA比赛用的是嘉立创EDA标准版,从第十四届起都是使用嘉立创EDA专业版,关于蓝桥杯EDA所有省国赛真题和模拟题我都无偿发给大家,由于网盘链接隔一段时间就失效,所以需要的同学关注私信我发你即可。


蓝桥杯客观题可看:蓝桥杯EDA客观题-CSDN博客

蓝桥杯电路原理图设计可看:蓝桥杯EDA常见电路原理图设计和分析-CSDN博客

一、第十二届省赛第一套真题题目

主观题整套题目如下:

试题一:库文件设计(5分)

USB-B数据手册如下:


由数据手册可得钻孔属性分别为0.92和2.30,距离如图所示,绘制封装如下:

试题二:电路设计(20分)


1.如图:由原理图可得MCU供电电压为3.3V,CH340为5V。


当TXD(CH340)输出低电平时,单片机接收到的也是低电平,当输出高电平5V时,得让RXD&apos接收到3.3V的电压,所以使用两个电阻分压,计算公式为:


3.3V = 5 x (R5 / R2 + R5),得 R5 = 3.10588k,所以我们填3.1k就行了。

2.根据题目对应加上位号和网络标签。

3.蜂鸣器是感性元件,当三极管截止时,需要一个二极管用于释放蜂鸣器电流。

具体电感介绍可以看我之前写过的文章:一篇了解电感的使用-CSDN博客

试题三:印制线路板设计(45分)


1.进行粗布局,一般先放置USB电源模块在指定位置,芯片放在中间,然后给各个模块分布大概位置,将各个模块的元器件放一起。


2.进行细布局,电源要滤波后再给芯片模块。


3.进行PCB布线,从芯片模块附近开始布线,最后再布VCC跟GND。


4.最后在全局放置过孔GND,增强电流流动,然后先泪滴再铺铜。


二、第十二届省赛第二套真题题目

试题一:库文件设计(5分)


试题二:电路设计(20分)


1.完成原理图

2.计算出R92的值,由题目可知:Uo / Ui = 3,由虚短虚断可得:Ui / R90 = (Uo - Ui)/  R92

解得R92 = 20k。


蓝桥杯EDA历年省赛真题分析-2

https://developer.aliyun.com/article/1508432

相关文章
|
5月前
|
传感器
|
5月前
|
人工智能 算法 Java
第十四届蓝桥杯集训——练习解题阶段(无序阶段)-ALGO-992 士兵杀敌(二)
第十四届蓝桥杯集训——练习解题阶段(无序阶段)-ALGO-992 士兵杀敌(二)
60 1
|
5月前
|
人工智能 算法 Java
第十四届蓝桥杯集训——练习解题阶段(无序阶段)-ALGO-1005 数字游戏
第十四届蓝桥杯集训——练习解题阶段(无序阶段)-ALGO-1005 数字游戏
93 0
|
5月前
|
Java C语言 C++
第十四届蓝桥杯集训——练习解题阶段(无序阶段)-ALGO-1000 kAc给糖果你吃
第十四届蓝桥杯集训——练习解题阶段(无序阶段)-ALGO-1000 kAc给糖果你吃
74 0
|
5月前
|
算法 Java C语言
第十四届蓝桥杯集训——练习解题阶段(无序阶段)-ALGO-999 数的潜能
第十四届蓝桥杯集训——练习解题阶段(无序阶段)-ALGO-999 数的潜能
74 0
|
5月前
|
算法 Java C语言
第十四届蓝桥杯集训——练习解题阶段(无序阶段)-ALGO-997 粘木棍
第十四届蓝桥杯集训——练习解题阶段(无序阶段)-ALGO-997 粘木棍
80 0
下一篇
无影云桌面