LabVIEW FPGA开发实时滑动摩擦系统

简介: LabVIEW FPGA开发实时滑动摩擦系统

LabVIEW FPGA开发实时滑动摩擦系统


由于非线性摩擦效应的建模和补偿的固有困难,摩擦系统的运动控制已被广泛研究。最近,人们更加关注滑动动力学和滑动定位,作为传统机器人定位的低成本和更灵活的驱动替代方案。摩擦控制器设计和适当选择基础摩擦模型的问题很重要。同样重要的是,要认识到摩擦是一种时变现象,并且会随着磨损或污染物引入系统而发生巨大变化。因此,有必要能够连续量化系统的摩擦状态,以提供最佳的运动控制。


这项工作提出了一种用于滑动的实时摩擦识别方案,该方案通过专用的实时运动控制系统实现,该系统利用DSP进行运动控制,FPGA进行力数据收集和分析。


应用是通过推动元件的等速驱动在旋转板上以环为中心。


f3237a2a62842538e3b578030680f73c.png


测量探头命令线性滑块伺服跟随,并收集数据以表征环表面。通过最小二乘技术对数据进行建模,然后从模型中提取偏移距离和方向等参数。这些参数被定向到运动控制子程序,该子程序以恒定速度驱动滑块,以使推头使零件几何中心与旋转中心直线移动。当零件被驱动时,从推头中的压电传感器收集力数据。中心对齐的目标公差为2.5μ米.


系统利用PXI-8187RT控制器、PXI-7350RT运动控制模块和PXI-7831 RFPGA模块。PXI-7350RT使用板载Motorola68331浮点处理器和板载数字信号处理器(DSP)进行8轴运动控制。由于高可用采样率,FPGA用于力传感器数据采集,并使用LabVIEW FPGA软件模块进行编程。所有硬件组件都集成在一个通用的PXI机箱中。


系统摩擦状态很少是恒定的。滑动表面的磨损、固体和液体污染物进出系统的运输以及零件居中状况的变化都会给摩擦模型带来变化。为了捕捉这些效应,描述了基础摩擦模型参数的实时识别方案。主要摩擦模型参数的识别使用对数递减法进行处理。在这项工作中,通过反演一般动力学模型来识别每次驱动达到的峰值力和实现的自由滑动距离。通过这种方式,单个驱动可以提供来自不同来源的摩擦估计。


力感测通过压电感测元件实现,该元件的输出被放大并定向到PXI实时系统机箱中安装的FPGA PXI-7831R卡的模拟输入(AI)端口。力捕获通过FPGA AI捕获进行。


5dddcc474b44d3afb517bb33e009d814.png



摩擦预测器模型中使用的自由距离由数字线性测量探头量化,该探头创建分辨率为20nm的正交编码器信号。探头输出从致动力传感器读取的失去接触点捕获,直到零件停止滑动。探头输出被引导至DSP模块的数字编码器输入。


观测力的一阶摩擦预测器和观测到的自由滑动距离的二阶摩擦预测器。此外,还定义了导数加权组合方案。识别方案预测给定应用中的滑动摩擦在5%以内。


这种摩擦识别方法还具有系统建模和运动控制路径规划之外的影响。此外,实时摩擦识别可用作机器诊断评估的一个要素。通过检测系统摩擦状态的重大变化来监控机器运行状况,并提供后续生成的维护请求或警报条件。


厂家没有提供LabVIEW的例子。根据通讯协议的相关的说明,编写了适合项目的程序。程序截图如下所示。


相关资料说明,如下所示。


LabVIEW程序,如下附件所示。

相关文章
|
1月前
|
数据采集 传感器 监控
如何在LabVIEW中使用FPGA模块
如何在LabVIEW中使用FPGA模块
30 1
|
1月前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
升级版FPGA MSK调制解调系统集成AWGN信道模型,支持在Vivado 2019.2中设置不同SNR仿真误码率。示例SNR值从0到15,结果展示解调质量随SNR提升。MATLAB仿真验证了MSK性能,图片显示了仿真结果。 ### 理论概要 研究聚焦于软件无线电中的MSK调制解调,利用Verilog实现。MSK是一种相位连续、恒包络的二进制调制技术,优点包括频谱效率高。系统采用无核设计,关键模块包括调制器、解调器和误码检测。复位、输入数据、中频信号等关键信号通过Verilog描述,并通过Chipscope在线观察。
33 6
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
|
9天前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的BPSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR
本系统基于Vivado2019.2,在原有BPSK调制解调基础上新增高斯信道及误码率统计模块,可测试不同SNR条件下的误码性能。仿真结果显示,在SNR=0dB时误码较高,随着SNR增至5dB,误码率降低。理论上,BPSK与2ASK信号形式相似,但基带信号不同。BPSK信号功率谱仅含连续谱,且其频谱特性与2ASK相近。系统采用Verilog实现,包括调制、加噪、解调及误码统计等功能,通过改变`i_SNR`值可调整SNR进行测试。
15 1
|
15天前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的2FSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR
本系统基于FSK调制解调,通过Vivado 2019.2仿真验证了不同信噪比(SNR)下的误码率表现。加入高斯信道与误码统计模块后,仿真结果显示:SNR=16dB时误码极少;随SNR下降至0dB,误码逐渐增多。FSK利用频率变化传输信息,因其易于实现且抗干扰性强,在中低速通信中有广泛应用。2FSK信号由连续谱与离散谱构成,相位连续与否影响功率谱密度衰减特性。Verilog代码实现了FSK调制、加性高斯白噪声信道及解调功能,并计算误码数量。
26 5
|
1月前
|
存储 算法 数据处理
LabVIEW FPGA开发NI sbRIO-9607高精度数字滤波器
LabVIEW FPGA开发NI sbRIO-9607高精度数字滤波器
30 5
|
3月前
|
前端开发 编译器 测试技术
LabVIEW FPGA利用响应式数字电子板快速开发空间应用程序
LabVIEW FPGA利用响应式数字电子板快速开发空间应用程序
36 1
|
3月前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
110 7
|
3月前
|
算法 异构计算
m基于FPGA的电子钟verilog实现,可设置闹钟,包含testbench测试文件
该文介绍了基于FPGA的电子钟设计,利用Vivado2019.2平台进行开发并展示测试结果。电子钟设计采用Verilog硬件描述语言,核心包括振荡器、分频器和计数器。时间显示为2个十进制格式,闹钟功能通过存储器和比较器实现,当当前时间等于设定时间时触发。文中给出了Verilog核心程序示例,展示了时钟信号、设置信号及输出的交互。
139 2
|
3月前
|
编解码 算法 异构计算
基于FPGA的NC图像质量评估verilog实现,包含testbench和MATLAB辅助验证程序
在Vivado 2019.2和Matlab 2022a中测试的图像质量评估算法展示了效果。该算法基于NC指标,衡量图像与原始图像的相似度,关注分辨率、色彩深度和失真。提供的Verilog代码段用于读取并比较两个BMP文件,计算NC值。
|
3月前
|
算法 异构计算
m基于FPGA的MPPT最大功率跟踪算法verilog实现,包含testbench
该内容包括三部分:1) 展示了Vivado 2019.2和Matlab中关于某种算法的仿真结果图像,可能与太阳能光伏系统的最大功率点跟踪(MPPT)相关。2) 简述了MPPT中的爬山法原理,通过调整光伏电池工作点以找到最大功率输出。3) 提供了一个Verilog程序模块`MPPT_test_tops`,用于测试MPPT算法,其中包含`UI_test`和`MPPT_module_U`两个子模块,处理光伏电流和电压信号。
39 1

热门文章

最新文章