【前端设计】保持代码手感——多对一的握手合并模块

简介: 【前端设计】保持代码手感——多对一的握手合并模块


前言

【芯片前端】保持代码手感——一对多的握手拆分模块_尼德兰的喵的博客-CSDN博客_前端拆分模块


有一对多的握手场景,就必然有多对一的握手场景,这篇文章就是探究下握手融合场景应该如何组织rtl。


接口

握手融合嘛,那必然是上游有多组握手接口,下游有一组握手接口:



对应的接口即为:

module hand_merge #(
  parameter CHANNEL = 2
)(
  input  [CHANNEL -1:0]in_valid,
  output [CHANNEL -1:0]in_ready,
  output         out_valid,
  input        out_ready
);
endmodule


实现

明确下功能,对于多打一的握手merge场景,显然需要上游所有的in_valid均为有效后,才能向下游起out_valid有效,因此对下游的out_valid逻辑很简单:

assign out_valid = &in_valid;


而对上游的in_ready逻辑呢稍微复杂一下,我之前尝试写了两种代码,一种是当所有的in_valid有效且out_ready有效时,对上游起in_ready:

assign in_ready[CHANNEL -1:0]in_ready = {CHANNEL{out_ready && (&in_valid)}};


然后是第二种写法,基于ready和valid解耦的思想,对于某一路的ready实际上不需要看自己这一路的valid,只要其他路的valid都来了,out-ready也有了那么这一路的in_ready就可以有效,此时只要这一路的in_valid一来,就满足了所有in_valid全部到达的条件,如果以这个思路那么组织代码为:

genvar i;
generate
for(i=0; i<CHANNEL; i=i+1)begin
    wire [CHANNEL -1:0] mask = {{(CHANNEL-1){1'b0}},1'b1} << i;
    wire [CHANNEL -1:0] in_valid_mask = in_valid | mask;
    assign in_ready[i] = out_ready && (&in_valid_mask);
end
endgenerate


最后我思来想去更加满意的还是第二种思路,因此最终的完成版代码就是这样:

module hand_merge #(
  parameter CHANNEL = 2
)(
  input  [CHANNEL -1:0]in_valid,
  output [CHANNEL -1:0]in_ready,
  output         out_valid,
  input        out_ready
);
genvar i;
generate
for(i=0; i<CHANNEL; i=i+1)begin
    wire [CHANNEL -1:0] mask = {{(CHANNEL-1){1'b0}},1'b1} << i;
    wire [CHANNEL -1:0] in_valid_mask = in_valid | mask;
    assign in_ready[i] = out_ready && (&in_valid_mask);
end
endgenerate
assign out_valid = &in_valid;
endmodule


相关文章
|
28天前
|
前端开发 JavaScript 安全
高级前端开发需要知道的 25 个 JavaScript 单行代码
1. 不使用临时变量来交换变量的值 2. 对象解构,让数据访问更便捷 3. 浅克隆对象 4. 合并对象 5. 清理数组 6. 将 NodeList 转换为数组 7. 检查数组是否满足指定条件 8. 将文本复制到剪贴板 9. 删除数组重复项 10. 取两个数组的交集 11. 求数组元素的总和 12. 根据指定条件判断,是否给对象的属性赋值 13. 使用变量作为对象的键 14. 离线状态检查器 15. 离开页面弹出确认对话框 16. 对象数组,根据对象的某个key求对应值的总和 17. 将 url 问号后面的查询字符串转为对象 18. 将秒数转换为时间格式的字符串 19.
34 3
高级前端开发需要知道的 25 个 JavaScript 单行代码
|
15天前
|
前端开发 JavaScript Serverless
前端新手建议收藏的JavaScript 代码技巧
前端新手建议收藏的JavaScript 代码技巧
|
22天前
|
设计模式 前端开发 JavaScript
关于写好前端JS代码的一些建议
关于写好前端JS代码的一些建议
22 2
|
10天前
|
前端开发 应用服务中间件 nginx
安装Windows版nginx以及部署前端代码并就解决刷新出现404
安装Windows版nginx以及部署前端代码并就解决刷新出现404
20 0
|
12天前
|
前端开发 API
支付系统27-------梳理一下支付按钮,前端的代码
支付系统27-------梳理一下支付按钮,前端的代码
|
13天前
|
JSON JavaScript 前端开发
前端 JS 经典:node 的模块查找策略
前端 JS 经典:node 的模块查找策略
10 0
|
15天前
|
前端开发 JavaScript Java
高效前端开发技巧:如何优化JavaScript代码执行效率
在当今互联网应用日益复杂的背景下,前端开发者面临着更高的性能要求。本文探讨了一些提升JavaScript代码执行效率的实用技巧,包括优化循环结构、减少内存占用以及利用现代浏览器的优化能力。通过这些方法,开发者可以在保持代码清晰易读的前提下,显著提升应用程序的响应速度和用户体验。
|
25天前
|
JavaScript 前端开发
杨校老师课堂之Web前端JS类库_JQuery案例[效果图与代码齐全]
杨校老师课堂之Web前端JS类库_JQuery案例[效果图与代码齐全]
17 0
|
18天前
|
前端开发 JavaScript 数据库
如何实现前后端分离-----前端笔记
如何实现前后端分离-----前端笔记
|
18天前
|
前端开发 安全 NoSQL
技术笔记:Security前端页面配置
技术笔记:Security前端页面配置