【芯片前端】保持代码手感——跨异步DMUX

简介: 【芯片前端】保持代码手感——跨异步DMUX

前言

同样是之前的网站,也PASS了网站的对比。不过呢,经过之前的“边长为5的等腰三角型”事件后,我对这网站的对比结果和PASS就不是那么信任了。具体是个什么事件呢,事情的经过是这个样子的,那一天我心血来潮看了看SHELL的题目:


打印边长为5的等腰三角形。


你的脚本应该输出


   *

  * *

 * * *

* * * *

* * * * *

我一看这题有意思哈,然后手贱点开了评论:



啊啊啊哈哈哈哈哈哈嘎嘎嘎哈哈哈或哈哈奥奥奥或或过!


然后我头铁试了一下:



哈哈哈哈哈哈~~~空气中充满了欢快的气氛。


所以呢这导致我对网站的评判结果不太信任,那么这个题就顺着说下我的解题好了,不保证一定是对的啊;


题目

题目如下:


在data_en为高期间,data_in将保持不变,data_en为高至少保持3个B时钟周期。表明,当data_en为高时,可将数据进行同步。


本题中data_in端数据变化频率很低,相邻两个数据间的变化,至少间隔10个B时钟周期。


接口如下:

module mux(
  input         clk_a , 
  input         clk_b ,   
  input         arstn ,
  input       brstn   ,
  input   [3:0] data_in ,
  input               data_en ,
  output reg  [3:0]   dataout
);
endmodule


解题思路

跨异步DUX结构,结构图应该还比较简单,之前的博文里总结过(如果结果想错了那就一条道走到黑吧~):


【异步电路碎碎念4】 —— 跨异步的处理方法



按照这个结构进行解题,先把跨异步打拍模块做出来。我希望做一个打拍可配置的单比特跨异步模块,所以很显然的我需要用到generate语法,但是这个语法我记得很差,尤其不知道怎么和always一起使用,基本就会例化模块,那么变通下,我先做寄存器模块(请忽略位宽不匹配问题):

module dffr#(
  parameter WIDTH = 1
)(
  input clk,
  input rst_n,
  input  [WIDTH -1:0]in,
  output [WIDTH -1:0]out
);
reg [WIDTH -1:0]out;
always @(posedge clk or negedge rst_n)begin
  if(~rst_n) out <= 0;
  else       out <= in;
end
endmodule


有了打拍模块,做单比特跨异步模块就简单很多了:

module sync_cell #(
  parameter SYNC_CYC = 2
)(
  input  clk,
  input  rst_n,
  input  in,
  output out
);
wire [SYNC_CYC :0]in_dff;
assign in_dff[0] = in;
assign out = in_dff[SYNC_CYC];
genvar i;
generate
    for(i=1; i<=SYNC_CYC; i=i+1)begin: inst_rtl
        dffr u_dffr[i](clk, rst_n, in_dff[i-1], in_dff[i]);
    end
endgenerate
endmodule


那么接下来要做的就是把控制信号打拍送到B时钟域,然后检测信号上升沿,采样即可:


module mux(
  input         clk_a , 
  input         clk_b ,   
  input         arstn ,
  input       brstn   ,
  input   [3:0] data_in ,
  input               data_en ,
  output reg  [3:0]   dataout
);
wire data_en_sync;
wire data_en_sync_ff;
wire data_en_sync_ch;
sync_cell u_sync(clk_b, brstn, data_en, data_en_sync);
dffr u_data_en_sync_ff(clk_b, brstn, data_en_sync, data_en_sync_ff);
assign data_en_sync_ch = (data_en_sync == 1) && (data_en_sync_ff == 0);
always @(posedge clk_b or negedge brstn)begin
  if(~brstn)         dataout <= 0;
  else if(data_en_sync_ch) dataout <= data_in;
end
endmodule


相关文章
|
2天前
|
前端开发 小程序 JavaScript
信前端里的循环显示如何编写代码?
信前端里的循环显示如何编写代码?
11 5
|
2月前
|
JavaScript 前端开发 小程序
【技巧】JS代码这么写,前端小姐姐都会爱上你
本文介绍了JavaScript编程中的实用技巧,包括解构赋值的多种妙用、数组操作技巧及常用JS功能片段。解构赋值部分涵盖短路语法防错、深度解构及默认值赋值;数组技巧包括按条件添加数据、获取最后一个元素及使用`includes`优化`if`语句;常用功能片段则涉及URL参数解析、页面滚动回顶部及获取滚动距离等。通过这些技巧,提升代码质量和效率。
22 0
【技巧】JS代码这么写,前端小姐姐都会爱上你
|
2月前
|
开发者 图形学 C#
深度解密:Unity游戏开发中的动画艺术——Mecanim状态机如何让游戏角色栩栩如生:从基础设置到高级状态切换的全面指南,助你打造流畅自然的游戏动画体验
【8月更文挑战第31天】Unity动画系统是游戏开发的关键部分,尤其适用于复杂角色动画。本文通过具体案例讲解Mecanim动画状态机的使用方法及原理。我们创建一个游戏角色并设计行走、奔跑和攻击动画,详细介绍动画状态机设置及脚本控制。首先导入动画资源并添加Animator组件,然后创建Animator Controller并设置状态间的转换条件。通过编写C#脚本(如PlayerMovement)控制动画状态切换,实现基于玩家输入的动画过渡。此方法不仅适用于游戏角色,还可用于任何需动态动画响应的对象,增强游戏的真实感与互动性。
58 0
|
2月前
|
Android开发 iOS开发 C#
Xamarin:用C#打造跨平台移动应用的终极利器——从零开始构建你的第一个iOS与Android通用App,体验前所未有的高效与便捷开发之旅
【8月更文挑战第31天】Xamarin 是一个强大的框架,允许开发者使用单一的 C# 代码库构建高性能的原生移动应用,支持 iOS、Android 和 Windows 平台。作为微软的一部分,Xamarin 充分利用了 .NET 框架的强大功能,提供了丰富的 API 和工具集,简化了跨平台移动应用开发。本文通过一个简单的示例应用介绍了如何使用 Xamarin.Forms 快速创建跨平台应用,包括设置开发环境、定义用户界面和实现按钮点击事件处理逻辑。这个示例展示了 Xamarin.Forms 的基本功能,帮助开发者提高开发效率并实现一致的用户体验。
78 0
|
2月前
|
前端开发 开发者 Apache
揭秘Apache Wicket项目结构:如何打造Web应用的钢铁长城,告别混乱代码!
【8月更文挑战第31天】Apache Wicket凭借其组件化设计深受Java Web开发者青睐。本文详细解析了Wicket项目结构,帮助你构建可维护的大型Web应用。通过示例展示了如何使用Maven管理依赖,并组织页面、组件及业务逻辑,确保代码清晰易懂。Wicket提供的页面继承、组件重用等功能进一步增强了项目的可维护性和扩展性。掌握这些技巧,能够显著提升开发效率,构建更稳定的Web应用。
76 0
|
2月前
|
前端开发 程序员 API
从后端到前端的无缝切换:一名C#程序员如何借助Blazor技术实现全栈开发的梦想——深入解析Blazor框架下的Web应用构建之旅,附带实战代码示例与项目配置技巧揭露
【8月更文挑战第31天】本文通过详细步骤和代码示例,介绍了如何利用 Blazor 构建全栈 Web 应用。从创建新的 Blazor WebAssembly 项目开始,逐步演示了前后端分离的服务架构设计,包括 REST API 的设置及 Blazor 组件的数据展示。通过整合前后端逻辑,C# 开发者能够在统一环境中实现高效且一致的全栈开发。Blazor 的引入不仅简化了 Web 应用开发流程,还为习惯于后端开发的程序员提供了进入前端世界的桥梁。
52 0
|
2月前
|
JavaScript 前端开发
揭秘Vue.js组件魔法:如何轻松驾驭前端代码,让维护变得轻而易举?
【8月更文挑战第30天】本文探讨了如何利用Vue.js的组件化开发提升前端代码的可维护性。组件化开发将复杂页面拆分为独立、可复用的组件,提高开发效率和代码可维护性。Vue.js支持全局及局部组件注册,并提供了多种组件间通信方式如props、事件等。通过示例展示了组件定义、数据传递及复用组合的方法,强调了组件化开发在实际项目中的重要性。
21 0
|
21天前
|
SpringCloudAlibaba JavaScript 前端开发
谷粒商城笔记+踩坑(2)——分布式组件、前端基础,nacos+feign+gateway+ES6+vue脚手架
分布式组件、nacos注册配置中心、openfegin远程调用、网关gateway、ES6脚本语言规范、vue、elementUI
谷粒商城笔记+踩坑(2)——分布式组件、前端基础,nacos+feign+gateway+ES6+vue脚手架
|
2月前
|
存储 前端开发 JavaScript
前端语言串讲 | 青训营笔记
前端语言串讲 | 青训营笔记
30 0
|
4月前
|
JSON 前端开发 JavaScript
前端Ajax、Axios和Fetch的用法和区别笔记
前端Ajax、Axios和Fetch的用法和区别笔记
75 2
下一篇
无影云桌面