m基于插入导频相关峰判决法的基带信号跳频图样识别FPGA实现,包含testbench

简介: m基于插入导频相关峰判决法的基带信号跳频图样识别FPGA实现,包含testbench

1.算法仿真效果
Vivado2019.2仿真结果如下:

54ca90170cd503825404ef6c85652034_watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_100,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=.jpg
b9005deac1855d3b58cfc4267aac5e19_watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_100,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=.jpg

   本案例通过不同的跳频同样,在基带数据中插入不同的PN序列,然后在接收到,基于PN序列相关峰的检测识别,来判决当前基带数据对应的跳频图样实现跳频通信。这里数据是基于基带数据来进行测试的。 

2.算法涉及理论知识概要
插入导频: 跳频信号在传输过程中可能会经历频率变化,这使得信号的频谱随时间变化。为了帮助识别信号的频率,我们在跳频信号中插入导频序列。导频序列是预先知道的、不随时间变化的信号,它可以用来估计信号的频率偏移。

  相关峰判决法: 跳频图样识别基于导频序列的相关峰。在收到信号后,首先通过相关操作计算信号与导频序列之间的相关性。当信号的频率与导频序列的频率相匹配时,相关性峰值最高。通过检测相关性峰值,我们可以判断信号是否匹配特定的跳频图样。

0a434bbabea0be78c46cd876204587c1_watermark,size_14,text_QDUxQ1RP5Y2a5a6i,color_FFFFFF,t_100,g_se,x_10,y_10,shadow_20,type_ZmFuZ3poZW5naGVpdGk=.png

具体实现步骤如下:

导频序列插入: 在发送端,将预先知道的导频序列插入跳频信号的特定位置。这些位置通常是在跳频图样的变化点。

信号接收: 接收端收到信号。

相关计算: 对接收到的信号与导频序列进行相关计算。通过对不同相关延迟进行计算,得到相关峰。

相关峰检测: 在相关峰函数中,检测最高的相关性峰值。该峰值对应于信号的频率与导频序列频率相匹配的情况。

判决: 根据相关峰值的存在与否,以及峰值的位置,来判断接收到的信号是否与特定的跳频图样匹配。

   通过这个过程,基于插入导频相关峰判决法的基带信号跳频图样识别可以实现对跳频信号的快速、准确的识别。这种方法在跳频通信系统中具有重要的应用,能够有效地解决跳频信号的频率偏移问题,提高信号识别的可靠性。

3.Verilog核心程序
````timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2020/04/22 15:13:09
// Design Name:
// Module Name: tops
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//

module tops(
i_clk_61_44M,//输入时钟
i_rst, //复位
o_clk_fre,
i_controller,
o_freq_coder,
o_I_dw,
o_Q_dw,
o_freq_coder2,
o_peak1,
o_peak2,
o_peak3,
o_peak4,
o_peak5
);

input i_clk_61_44M;
input i_rst;
output o_clk_fre;

input i_controller;
output[3:0]o_freq_coder;

output signed[11:0]o_I_dw;
output signed[11:0]o_Q_dw;

output[3:0] o_freq_coder2;
output signed[9:0]o_peak1;
output signed[9:0]o_peak2;
output signed[9:0]o_peak3;
output signed[9:0]o_peak4;
output signed[9:0]o_peak5;

wire clk_61_44M;
wire clk_15_36M;
wire clk_7_68M;
clk_wiz_0 clk_wiz_0_u
(
// Clock out ports
.clk_out1(clk_61_44M), // output clk_out1
.clk_out2(clk_15_36M), // output clk_out2
.clk_out3(clk_7_68M), // output clk_out3
// Status and control signals
.reset (1'b0), // input reset
.locked (), // output locked
// Clock in ports
.clk_in1 (i_clk_61_44M)
);
assign o_clk_fre=clk_15_36M;

wire signed[11:0]w_I_dw1;
wire signed[11:0]w_Q_dw1;

wire signed[11:0]w_I_dw2;
wire signed[11:0]w_Q_dw2;
wire signed[11:0]w_I_dw3;
wire signed[11:0]w_Q_dw3;
wire signed[11:0]w_I_dw4;
wire signed[11:0]w_Q_dw4;
wire signed[11:0]w_I_dw5;
wire signed[11:0]w_Q_dw5;
signal_f1 signal_f1_u(
.i_clk (o_clk_fre),
.i_rst (i_rst),
.o_I_dw(w_I_dw1),
.o_Q_dw(w_Q_dw1)
);
signal_f2 signal_f2_u(
.i_clk (o_clk_fre),
.i_rst (i_rst),
.o_I_dw(w_I_dw2),
.o_Q_dw(w_Q_dw2)
);

signal_f3 signal_f3_u(
.i_clk (o_clk_fre),
.i_rst (i_rst),
.o_I_dw(w_I_dw3),
.o_Q_dw(w_Q_dw3)
);

signal_f4 signal_f4_u(
.i_clk (o_clk_fre),
.i_rst (i_rst),
.o_I_dw(w_I_dw4),
.o_Q_dw(w_Q_dw4)
);

signal_f5 signal_f5_u(
.i_clk (o_clk_fre),
.i_rst (i_rst),
.o_I_dw(w_I_dw5),
.o_Q_dw(w_Q_dw5)
);

jump_frequency jump_frequency_u(
.i_clk (o_clk_fre),
.i_rst (i_rst),
.i_controller (i_controller),
.i_freqset (4'b0010),
.o_freq_coder (o_freq_coder)//输出跳频带你
);

reg signed[11:0]o_I_dw;
reg signed[11:0]o_Q_dw;
always @(posedge o_clk_fre or posedge i_rst)
begin
if(i_rst)
begin
o_I_dw <= 12'd0;
o_Q_dw <= 12'd0;
end
else begin
if(o_freq_coder == 4'b0000)//根据不同的频点,插入不同的序列,接收端根据序列类型判决频点
begin
o_I_dw <= w_I_dw1;
o_Q_dw <= w_I_dw1;
end
if(o_freq_coder == 4'b0001)
begin
o_I_dw <= w_I_dw2;
o_Q_dw <= w_I_dw2;
end
if(o_freq_coder == 4'b0010)
begin
o_I_dw <= w_I_dw3;
o_Q_dw <= w_I_dw3;
end
if(o_freq_coder == 4'b0011)
begin
o_I_dw <= w_I_dw4;
o_Q_dw <= w_I_dw4;
end
if(o_freq_coder == 4'b0100)
begin
o_I_dw <= w_I_dw5;
o_Q_dw <= w_I_dw5;
end

 end

end
jump_check jump_check_u(
.i_clk (o_clk_fre),
.i_rst (i_rst),
.i_I_dw (o_I_dw),
.i_Q_dw (o_Q_dw),
.o_freq_coder(o_freq_coder2),
.o_peak1 (o_peak1),
.o_peak2 (o_peak2),
.o_peak3 (o_peak3),
.o_peak4 (o_peak4),
.o_peak5 (o_peak5)
);

endmodule
```

相关文章
|
29天前
|
监控 算法 安全
基于颜色模型和边缘检测的火焰识别FPGA实现,包含testbench和matlab验证程序
本项目展示了基于FPGA的火焰识别算法,可在多种应用场景中实时检测火焰。通过颜色模型与边缘检测技术,结合HSV和YCbCr颜色空间,高效提取火焰特征。使用Vivado 2019.2和Matlab 2022a实现算法,并提供仿真结果与测试样本。FPGA平台充分发挥并行处理优势,实现低延迟高吞吐量的火焰检测。项目包含完整代码及操作视频说明。
|
1月前
|
算法 测试技术 开发工具
基于FPGA的QPSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR
该系统在原有的QPSK调制解调基础上,新增了高斯信道和误码率统计模块,验证了不同SNR条件下的QPSK误码性能。系统包括数据生成、QPSK调制与解调等模块,使用Vivado 2019.2进行仿真,展示了SNR分别为15dB、10dB、5dB和1dB时的误码情况。系统采用Verilog语言实现,具有高效、可靠的特点。
37 3
|
16天前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的2ASK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR
本项目基于Vivado 2019.2实现了2ASK调制解调系统,新增高斯信道及误码率统计模块,验证了不同SNR条件下的ASK误码表现。2ASK通过改变载波振幅传输二进制信号,其调制解调过程包括系统设计、Verilog编码、仿真测试及FPGA实现,需考虑实时性与并行性,并利用FPGA资源优化非线性操作。
27 0
|
2月前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的BPSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR
本系统基于Vivado2019.2,在原有BPSK调制解调基础上新增高斯信道及误码率统计模块,可测试不同SNR条件下的误码性能。仿真结果显示,在SNR=0dB时误码较高,随着SNR增至5dB,误码率降低。理论上,BPSK与2ASK信号形式相似,但基带信号不同。BPSK信号功率谱仅含连续谱,且其频谱特性与2ASK相近。系统采用Verilog实现,包括调制、加噪、解调及误码统计等功能,通过改变`i_SNR`值可调整SNR进行测试。
31 1
|
5月前
|
机器学习/深度学习 算法 异构计算
m基于FPGA的多通道FIR滤波器verilog实现,包含testbench测试文件
本文介绍了使用VIVADO 2019.2仿真的多通道FIR滤波器设计。展示了系统RTL结构图,并简述了FIR滤波器的基本理论,包括单通道和多通道的概念、常见结构及设计方法,如窗函数法、频率采样法、优化算法和机器学习方法。此外,还提供了Verilog核心程序代码,用于实现4通道滤波器模块,包含时钟、复位信号及输入输出接口的定义。
138 7
|
5月前
|
算法 异构计算
m基于FPGA的电子钟verilog实现,可设置闹钟,包含testbench测试文件
该文介绍了基于FPGA的电子钟设计,利用Vivado2019.2平台进行开发并展示测试结果。电子钟设计采用Verilog硬件描述语言,核心包括振荡器、分频器和计数器。时间显示为2个十进制格式,闹钟功能通过存储器和比较器实现,当当前时间等于设定时间时触发。文中给出了Verilog核心程序示例,展示了时钟信号、设置信号及输出的交互。
168 2
|
5月前
|
编解码 算法 异构计算
基于FPGA的NC图像质量评估verilog实现,包含testbench和MATLAB辅助验证程序
在Vivado 2019.2和Matlab 2022a中测试的图像质量评估算法展示了效果。该算法基于NC指标,衡量图像与原始图像的相似度,关注分辨率、色彩深度和失真。提供的Verilog代码段用于读取并比较两个BMP文件,计算NC值。
|
5月前
|
算法 异构计算
m基于FPGA的MPPT最大功率跟踪算法verilog实现,包含testbench
该内容包括三部分:1) 展示了Vivado 2019.2和Matlab中关于某种算法的仿真结果图像,可能与太阳能光伏系统的最大功率点跟踪(MPPT)相关。2) 简述了MPPT中的爬山法原理,通过调整光伏电池工作点以找到最大功率输出。3) 提供了一个Verilog程序模块`MPPT_test_tops`,用于测试MPPT算法,其中包含`UI_test`和`MPPT_module_U`两个子模块,处理光伏电流和电压信号。
54 1
|
3月前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
升级版FPGA MSK调制解调系统集成AWGN信道模型,支持在Vivado 2019.2中设置不同SNR仿真误码率。示例SNR值从0到15,结果展示解调质量随SNR提升。MATLAB仿真验证了MSK性能,图片显示了仿真结果。 ### 理论概要 研究聚焦于软件无线电中的MSK调制解调,利用Verilog实现。MSK是一种相位连续、恒包络的二进制调制技术,优点包括频谱效率高。系统采用无核设计,关键模块包括调制器、解调器和误码检测。复位、输入数据、中频信号等关键信号通过Verilog描述,并通过Chipscope在线观察。
75 6
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
|
3月前
|
C语言 芯片 异构计算
FPGA新起点V1开发板(六-语法篇)——verilog简介+基础语法
FPGA新起点V1开发板(六-语法篇)——verilog简介+基础语法

热门文章

最新文章

下一篇
无影云桌面