FPGA硬件工程师Verilog面试题(四)

本文涉及的产品
公共DNS(含HTTPDNS解析),每月1000万次HTTP解析
全局流量管理 GTM,标准版 1个月
云解析 DNS,旗舰版 1个月
简介: FPGA硬件工程师Verilog面试题(四)

image.png


习题一:根据状态转移表实现时序电路



描述


  • 某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。

1b62bb24869346ecb71f31ee96b8d1c7.png

电路的接口如下图所示


e41f8f6ea2fa489cbc00374bd530854d.png


输入描述

  • input A
  • input clk
  • input rst_n

输出描述

  • output wire Y

代码实现

`timescale 1ns/1ns
module seq_circuit(
      input                A   ,
      input                clk ,
      input                rst_n,
      output   wire        Y   
);
    reg q0, q1;
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n) begin
            q1 <= 0;
        end
        else begin
            q1 <= A ^ q0 ^ q1;
        end
    end
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n) begin
            q0 <= 0;
        end
        else begin
            q0 <= ~q0;
        end
    end
    assign Y = q0 & q1;
endmodule


习题二:根据状态转移图实现时序电路



描述


  • 某同步时序电路的状态转换图如下,→上表示“C/Y”,圆圈内为现态,→指向次态。
  • 请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。


c39efe582f984aa1b90afda8993109fc.png


电路的接口如下图所示,C是单bit数据输入端。



15aa40f88e4241af813f3f994cbce236.png

输入描述

  • input C
  • input clk
  • input rst_n

输出描述

  • output wire Y

代码实现

`timescale 1ns/1ns
module seq_circuit(
   input                C   ,
   input                clk ,
   input                rst_n,
   output   wire        Y   
);
    parameter ST0 = 2'b00;
    parameter ST1 = 2'b01;
    parameter ST2 = 2'b10;
    parameter ST3 = 2'b11;
    reg[1:0] cur_state;
    reg[1:0] next_state;
    reg Y_r;
    always@(posedge clk or negedge rst_n)
        if(!rst_n)
            cur_state <= ST0;
        else
            cur_state <= next_state;
    always@(*)
        case (cur_state)
            ST0: begin
                if(C == 1'b0) begin
                    next_state = ST0;
                end else begin
                    next_state = ST1;
                end
            end
            ST1: begin
                if(C == 1'b0) begin
                    next_state = ST3;
                end else begin
                    next_state = ST1;
                end
            end
            ST2: begin
                if(C == 1'b0) begin
                    next_state = ST0;
                end else begin
                    next_state = ST2;
                end
            end
            ST3: begin
                if(C == 1'b0) begin
                   next_state = ST3;
                end else begin
                    next_state = ST2;
                end
            end
        endcase
        always@(*)
        case (cur_state)
            ST0: begin
               Y_r = 1'b0;
            end
            ST1: begin
               Y_r = 1'b0;
            end
            ST2: begin
                if(C == 1'b1)
                    Y_r = 1'b1;
                else
                    Y_r = 1'b0;
            end
            ST3: begin
                Y_r = 1'b1;
            end
        endcase
    assign Y = Y_r;
endmodule

习题三:ROM的简单实现



描述


  • 实现一个深度为8,位宽为4bit的ROM,数据初始化为0,2,4,6,8,10,12,14。可以通过输入地址addr,输出相应的数据data。
  • 接口信号图如下:

569f2415277744d4bfae17b2cf43100f.png


  • 使用Verilog HDL实现以上功能并编写testbench验证。

输入描述

  • clk:系统时钟
  • rst_n:异步复位信号,低电平有效
  • addr:8bit位宽的无符号数,输入到ROM的地址

输出描述

  • data:4bit位宽的无符号数,从ROM中读出的数据

代码实现

`timescale 1ns/1ns
module rom(
  input clk,
  input rst_n,
  input [7:0]addr,
  output [3:0]data
);
  reg [3:0] rom_data [7:0];
  assign data = rom_data[addr];
//保持ROM中的数据不变 
  always @(posedge clk or negedge rst_n)
    if (!rst_n) 
      begin
        rom_data[0] <= 4'd0;
        rom_data[1] <= 4'd2;
        rom_data[2] <= 4'd4;
        rom_data[3] <= 4'd6;    
        rom_data[4] <= 4'd8;
        rom_data[5] <= 4'd10;
        rom_data[6] <= 4'd12;
        rom_data[7] <= 4'd14;
      end
    else 
      begin
        rom_data[0] <= rom_data[0];
        rom_data[1] <= rom_data[1];
        rom_data[2] <= rom_data[2];
        rom_data[3] <= rom_data[3];   
        rom_data[4] <= rom_data[4];
        rom_data[5] <= rom_data[5];
        rom_data[6] <= rom_data[6];
        rom_data[7] <= rom_data[7];
      end
endmodule


习题四:边沿检测



描述


  • 有一个缓慢变化的1bit信号a,编写一个程序检测a信号的上升沿给出指示信号rise,当a信号出现下降沿时给出指示信号down。
  • 注:rise,down应为单脉冲信号,在相应边沿出现时的下一个时钟为高,之后恢复到0,一直到再一次出现相应的边沿。


f6d2662d33f9489cace1a6a3a02af70e.png



  • 使用Verilog HDL实现以上功能并编写testbench验证。


输入描述


  • clk:系统时钟信号
  • rst_n:异步复位信号,低电平有效
  • a:单比特信号,作为待检测的信号

输出描述

  • rise:单比特信号,当输入信号a出现上升沿时为1,其余时刻为0
  • down:单比特信号,当输入信号a出现下降沿时为1,其余时刻为0

代码实现


`timescale 1ns/1ns
module edge_detect(
  input clk,
  input rst_n,
  input a,
  output reg rise,
  output reg down
);
  reg a_tem;
//缓存a的数值  
  always @(posedge clk or negedge rst_n)
    if (!rst_n)
      a_tem <= 1'b0;
    else
      a_tem <= a;
//检测边沿,给出相应的信号
  always @(posedge clk or negedge rst_n)
    if (!rst_n) 
      begin 
        rise <= 1'b0;
        down <= 1'b0;
      end
    else if (!a_tem && a)       //当前一时刻a=0,当前时刻a=1,表示a出现一次上升沿
      begin
        rise <= 1'b1;
        down <= 1'b0;
      end
    else if (a_tem && !a)     //当前一时刻a=1,当前时刻a=0,表示a出现一次下降沿
      begin
        down <= 1'b1;
        rise <= 1'b0;
      end
    else 
      begin
        down <= 1'b0;
        rise <= 1'b0;
      end
endmodule


相关文章
|
1月前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
升级版FPGA MSK调制解调系统集成AWGN信道模型,支持在Vivado 2019.2中设置不同SNR仿真误码率。示例SNR值从0到15,结果展示解调质量随SNR提升。MATLAB仿真验证了MSK性能,图片显示了仿真结果。 ### 理论概要 研究聚焦于软件无线电中的MSK调制解调,利用Verilog实现。MSK是一种相位连续、恒包络的二进制调制技术,优点包括频谱效率高。系统采用无核设计,关键模块包括调制器、解调器和误码检测。复位、输入数据、中频信号等关键信号通过Verilog描述,并通过Chipscope在线观察。
33 6
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
|
13天前
|
运维 Kubernetes 关系型数据库
云计算运维工程师面试技巧
【8月更文挑战第6天】
54 1
|
1月前
|
算法 网络协议 Linux
|
1月前
|
C语言 芯片 异构计算
FPGA新起点V1开发板(六-语法篇)——verilog简介+基础语法
FPGA新起点V1开发板(六-语法篇)——verilog简介+基础语法
|
2月前
|
算法 计算机视觉 异构计算
基于FPGA的图像一维FFT变换IFFT逆变换verilog实现,包含tb测试文件和MATLAB辅助验证
```markdown ## FPGA 仿真与 MATLAB 显示 - 图像处理的 FFT/IFFT FPGA 实现在 Vivado 2019.2 中仿真,结果通过 MATLAB 2022a 展示 - 核心代码片段:`Ddddddddddddddd` - 理论:FPGA 实现的一维 FFT/IFFT,加速数字信号处理,适用于高计算需求的图像应用,如压缩、滤波和识别 ```
|
2月前
|
存储 异构计算 内存技术
【硬件工程师面试宝典】常见面试题其一
- Setup时间:时钟前数据需稳定的最小时间。 - Hold时间:时钟后数据需保持稳定的时间。 - 竞争现象:不同路径信号汇合导致输出不稳定。 - 冒险现象:竞争引起的短暂错误状态。 - D触发器实现2倍分频电路。
48 5
|
1月前
|
算法 异构计算
FPGA入门(2):Verilog HDL基础语法
FPGA入门(2):Verilog HDL基础语法
15 0
|
2月前
|
算法 计算机视觉 异构计算
基于FPGA的图像直方图均衡化处理verilog实现,包含tb测试文件和MATLAB辅助验证
摘要: 在FPGA上实现了图像直方图均衡化算法,通过MATLAB2022a与Vivado2019.2进行仿真和验证。核心程序涉及灰度直方图计算、累积分布及映射变换。算法旨在提升图像全局对比度,尤其适合低对比度图像。FPGA利用可编程增益器和查表技术加速硬件处理,实现像素灰度的均匀重分布,提升视觉效果。![image preview](https://ucc.alicdn.com/pic/developer-ecology/3tnl7rfrqv6tw_a075525027db4afbb9c0529921fd0152.png)
|
2月前
|
安全 网络安全 网络协议
精选30道“渗透测试工程师”面试题
渗透测试 信息收集 网络安全 web安全
83 3
|
2月前
【硬件工程师面试宝典】常见面试题其二
检查单片机上电不运行:电源电压、时钟信号、复位电路、程序烧录。三极管特性:输出特性曲线和转移特性曲线。频率响应指系统对不同频率信号的响应,稳定要求幅度和相位不变。改变响应曲线方法:调整反馈、使用滤波器、改变元件参数。差分运放相位补偿通过在反馈回路加电容,波特图显示补偿效果。基本放大电路类型有共射、共集、共基,差分结构用于抗干扰和提高共模抑制比。电阻电容串联,电容电压为低通滤波,电阻电压为高通滤波。选择电阻考虑阻值、功率、温度系数、精度和尺寸。CMOS电路传递低电平用N管。电流偏置电路通过R1、Q1产生稳定电流。施密特电路回差电压由R1、R2决定。LC振荡器的哈特莱、科尔皮兹、克拉
27 0