FPGA硬件工程师Verilog面试题(三)

本文涉及的产品
云解析DNS,个人版 1个月
云解析 DNS,旗舰版 1个月
全局流量管理 GTM,标准版 1个月
简介: FPGA硬件工程师Verilog面试题(三)

2e8b6fd3f95a4edda19f4c15bbb4de23.png

习题一:4位数值比较器电路



描述


  • 某4位数值比较器的功能表如下。
    请用Verilog语言采用门级描述方式,实现此4位数值比较器


0c9438f0f8e04d9ea001909d4cb354cc.png

输入描述

  • input [3:0] A
  • input [3:0] B

输出描述

  • output wire Y2 , //A>B
  • output wire Y1 , //A=B
  • output wire Y0 //A<B

代码实现

`timescale 1ns/1ns
module comparator_4(
  input   [3:0]       A     ,
  input    [3:0]    B     ,
  output   wire   Y2    , //A>B
  output   wire        Y1    , //A=B
    output   wire        Y0      //A<B
);
wire W_y2[0:3];
wire W_y1[0:3];
wire W_y0[0:3];
genvar gen_i;
for (gen_i = 0; gen_i < 4; gen_i = gen_i + 1) begin
  compare_1 compare_1_u(
  .A (A[gen_i]   ),
    .B (B[gen_i]   ),
  .Y2(W_y2[gen_i]),//A>B
  .Y1(W_y1[gen_i]),//A=B
  .Y0(W_y0[gen_i]) //A<B
);
end
assign Y2 = W_y2[3] | ((W_y1[3]) & (W_y2[2])) | ((W_y1[3]) & (W_y1[2]) & (W_y2[1])) | ((W_y1[3]) & (W_y1[2]) & (W_y1[1]) & (W_y2[0]));
assign Y0 = W_y0[3] | ((W_y1[3]) & (W_y0[2])) | ((W_y1[3]) & (W_y1[2]) & (W_y0[1])) | ((W_y1[3]) & (W_y1[2]) & (W_y1[1]) & (W_y0[0]));
assign Y1 = W_y1[3] & W_y1[2] & W_y1[1] & W_y1[0];
endmodule 
module compare_1(
  input A,
  input B,
  output Y2,//A>B
  output Y1,//A=B
  output Y0 //A<B
);
assign Y2 = A & (!B);
assign Y0 = (!A) & B;
assign Y1 = !(Y2 | Y0);
endmodule

习题二:4bit超前进位加法器电路



描述


2388d74b57da4bbfb1677d7dfef196db.png



输入描述

  • 输入信号:
  • A_in[3:0],
  • B_in[3:0]
  • C_1
  • 类型:wire

输出描述

  • 输出信号:
  • S[3:0]
  • CO
  • 类型:wire

代码实现


module full_adder(
    input  A,
    input  B,
    input  C_i,
    output S,
    output C_o
);
    assign S    = A ^ B ^ C_i;
    assign C_o  = A & B | C_i&(a^b);
    // assign C_o  = A & B | A & C_i | B & C_i; // 也可以
endmodule


习题三:优先编码器电路



描述


1d1a5ab7939b4316b74e844c3689cfad.png

输入描述

  • ①输入描述:
  • input [8:0] I_n

输出描述

  • ①输出描述:
  • output reg [3:0] Y_n

代码实现


`timescale 1ns/1ns
module encoder_0(
   input      [8:0]         I_n   ,
   output reg [3:0]         Y_n   
);
    always@(*) begin
        casez (I_n)
            9'b1_1111_1111: Y_n = 4'b1111;
            9'b0_????_????: Y_n = 4'b0110;
            9'b1_0???_????: Y_n = 4'b0111;
            9'b1_10??_????: Y_n = 4'b1000;
            9'b1_110?_????: Y_n = 4'b1001;
            9'b1_1110_????: Y_n = 4'b1010;
            9'b1_1111_0???: Y_n = 4'b1011;
            9'b1_1111_10??: Y_n = 4'b1100;
            9'b1_1111_110?: Y_n = 4'b1101;
            9'b1_1111_1110: Y_n = 4'b1110;
            default: Y_n = 4'b0000;
        endcase
    end
endmodule


习题四:用优先编码器①实现键盘编码电路



描述


请使用优先编码器①实现键盘编码电路,可添加并例化题目中已给出的优先编码器代码。


10个按键分别对应十进制数0-9,按键9的优先级别最高;按键悬空时,按键输出高电平,按键按下时,按键输出低电平;键盘编码电路的输出是8421BCD码。


要求:键盘编码电路要有工作状态标志,以区分没有按键按下和按键0按下两种情况。



ff3f80bee0bd4e0c917c03ba034a40a8.png


26bba331fc9840f68f7ccf1179b11b67.png

输入描述

  • input [9:0] S_n

输出描述

  • output wire[3:0] L
  • output wire GS

代码实现


`timescale 1ns/1ns
module encoder_0(
   input      [8:0]         I_n   ,
   output reg [3:0]         Y_n   
);
always @(*)begin
   casex(I_n)
      9'b111111111 : Y_n = 4'b1111;
      9'b0xxxxxxxx : Y_n = 4'b0110;
      9'b10xxxxxxx : Y_n = 4'b0111;
      9'b110xxxxxx : Y_n = 4'b1000;
      9'b1110xxxxx : Y_n = 4'b1001;
      9'b11110xxxx : Y_n = 4'b1010;
      9'b111110xxx : Y_n = 4'b1011;
      9'b1111110xx : Y_n = 4'b1100;
      9'b11111110x : Y_n = 4'b1101;
      9'b111111110 : Y_n = 4'b1110;
      default      : Y_n = 4'b1111;
   endcase    
end 
endmodule
module key_encoder(
      input      [9:0]         S_n   ,         
      output wire[3:0]         L     ,
      output wire              GS
);
    wire [3:0] L_temp; 
    encoder_0 encoder (S_n[9:1], L_temp);
    assign GS = ~((&(~L)) & S_n[0]);
    assign L = ~L_temp;
endmodule


习题五: 数据选择器实现逻辑电路



描述


  • 请使用此4选1数据选择器和必要的逻辑门实现下列表达式。
  • L=A∙B+A∙~C+B∙C
  • 数据选择器的逻辑符号如下图:


16e6edbe50f74b7e9b1e9543e70ff1ee.png


04b8226a5c0542f3895e62a3967890bb.png


输入描述

  • input A
  • input B
  • input C

输出描述

  • output wire L

代码实现


`timescale 1ns/1ns
module data_sel(
   input             S0     ,
   input             S1     ,
   input             D0     ,
   input             D1     ,
   input             D2     ,
   input             D3     ,
   output wire        Y    
);
assign Y = ~S1 & (~S0&D0 | S0&D1) | S1&(~S0&D2 | S0&D3);
endmodule
module sel_exp(
   input             A     ,
   input             B     ,
   input             C     ,
   output wire       L            
);
    data_sel mySel(.S0(A), .S1(B), .D0(0), .D1(~C), .D2(C), .D3(1), .Y(L));
endmodule


相关文章
|
3月前
|
编解码 算法 异构计算
基于FPGA的NC图像质量评估verilog实现,包含testbench和MATLAB辅助验证程序
在Vivado 2019.2和Matlab 2022a中测试的图像质量评估算法展示了效果。该算法基于NC指标,衡量图像与原始图像的相似度,关注分辨率、色彩深度和失真。提供的Verilog代码段用于读取并比较两个BMP文件,计算NC值。
|
1月前
|
算法 数据安全/隐私保护 异构计算
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
升级版FPGA MSK调制解调系统集成AWGN信道模型,支持在Vivado 2019.2中设置不同SNR仿真误码率。示例SNR值从0到15,结果展示解调质量随SNR提升。MATLAB仿真验证了MSK性能,图片显示了仿真结果。 ### 理论概要 研究聚焦于软件无线电中的MSK调制解调,利用Verilog实现。MSK是一种相位连续、恒包络的二进制调制技术,优点包括频谱效率高。系统采用无核设计,关键模块包括调制器、解调器和误码检测。复位、输入数据、中频信号等关键信号通过Verilog描述,并通过Chipscope在线观察。
33 6
基于FPGA的MSK调制解调系统verilog开发,包含testbench,同步模块,高斯信道模拟模块,误码率统计模块
|
12天前
|
运维 Kubernetes 关系型数据库
云计算运维工程师面试技巧
【8月更文挑战第6天】
54 1
|
1月前
|
算法 网络协议 Linux
|
1月前
|
C语言 芯片 异构计算
FPGA新起点V1开发板(六-语法篇)——verilog简介+基础语法
FPGA新起点V1开发板(六-语法篇)——verilog简介+基础语法
|
2月前
|
算法 计算机视觉 异构计算
基于FPGA的图像一维FFT变换IFFT逆变换verilog实现,包含tb测试文件和MATLAB辅助验证
```markdown ## FPGA 仿真与 MATLAB 显示 - 图像处理的 FFT/IFFT FPGA 实现在 Vivado 2019.2 中仿真,结果通过 MATLAB 2022a 展示 - 核心代码片段:`Ddddddddddddddd` - 理论:FPGA 实现的一维 FFT/IFFT,加速数字信号处理,适用于高计算需求的图像应用,如压缩、滤波和识别 ```
|
2月前
|
存储 异构计算 内存技术
【硬件工程师面试宝典】常见面试题其一
- Setup时间:时钟前数据需稳定的最小时间。 - Hold时间:时钟后数据需保持稳定的时间。 - 竞争现象:不同路径信号汇合导致输出不稳定。 - 冒险现象:竞争引起的短暂错误状态。 - D触发器实现2倍分频电路。
48 5
|
3月前
|
人工智能 开发工具 Python
2024年利用Python突破验证码限制,2024年最新Python高级开发工程师面试题
2024年利用Python突破验证码限制,2024年最新Python高级开发工程师面试题
2024年利用Python突破验证码限制,2024年最新Python高级开发工程师面试题
|
1月前
|
算法 异构计算
FPGA入门(2):Verilog HDL基础语法
FPGA入门(2):Verilog HDL基础语法
15 0
|
2月前
|
算法 计算机视觉 异构计算
基于FPGA的图像直方图均衡化处理verilog实现,包含tb测试文件和MATLAB辅助验证
摘要: 在FPGA上实现了图像直方图均衡化算法,通过MATLAB2022a与Vivado2019.2进行仿真和验证。核心程序涉及灰度直方图计算、累积分布及映射变换。算法旨在提升图像全局对比度,尤其适合低对比度图像。FPGA利用可编程增益器和查表技术加速硬件处理,实现像素灰度的均匀重分布,提升视觉效果。![image preview](https://ucc.alicdn.com/pic/developer-ecology/3tnl7rfrqv6tw_a075525027db4afbb9c0529921fd0152.png)

热门文章

最新文章