CNT10T

简介: CNT10T
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CNT10T IS
PORT(CO1:IN STD_LOGIC;
Q:OUT STD_LOGIC);
END CNT10T;
ARCHITECTURE bhv OF CNT10T IS
SIGNAL Q1:STD_LOGIC_VECTOR(0 TO 3);
BEGIN
PROCESS(CO1) BEGIN
IF CO1’EVENT AND CO1=‘1’ THEN
IF Q1<“1001” THEN Q1<=Q1+1;
ELSE Q1<=“0000”;
END IF;
END IF;
IF Q1=9 THEN Q<=‘1’;
ELSE Q<=‘0’;
END IF;
END PROCESS;
END bhv;
相关文章
|
JavaScript
VUE element-ui之上传身份证照片正反面详细代码
VUE element-ui之上传身份证照片正反面详细代码
1268 0
VUE element-ui之上传身份证照片正反面详细代码
|
存储 缓存 NoSQL
Zookeeper
Zookeeper的特性与节点数据类型及使用场景进行简单介绍
1134 0
|
Java 数据库连接 Maven
Maven入门,读完这篇就够了(二)
Maven入门,读完这篇就够了(二)
160 0
Maven入门,读完这篇就够了(二)
开发指南—函数—拆分函数—RANGE_HASH
本文将介绍RANGE_HASH函数的使用方式。
|
Android开发
react-native-wechat安卓点击登录没有回调问题
react-native-wechat安卓点击登录没有回调问题
257 0
从丁哥那里学到的两个 SAP UI5 技能
从丁哥那里学到的两个 SAP UI5 技能
|
存储 开发框架 安全
面向对象——垃圾回收
面向对象——垃圾回收
115 0
|
编解码 测试技术
宋利:许多高手并未参加MSU评测
版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/vn9PLgZvnPs1522s82g/article/details/82941698 ...
1645 0